EDAandverilog复习题.doc

  1. 1、本文档共3页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
二选一 module al(out,a,b,sel); input a,b; output out; reg out; always @(a or b or sel) begin if(sel) out=b; else out=a; end endmodule 键盘去抖动 module zxh(d,clk,q); input d,clk; output q; reg q1,q2; always @(posedge clk) begin q1=d; q2=~q1; end assign q=d q1 q2; endmodule 占空比为50%的四分频器 module fd4(clkin,reset,qout); input clkin,reset; output qout; reg qout; reg[2:0] s; always @(posedge clkin) begin if(!reset) qout=0; else if(s3) s=s+1; else s=0; if(s=1) qout=0; else qout=1; end endmodule 半整数分频器(5.5倍) mdule fdiv5_5(clkin,clr,clkout); input clkin,clr; output clkout; reg clkout; reg clk1,clk2; integer count; xor xor1(clk2,clkin,clk1); //异或门 always @(posedge clkout or negedge clr) //2分频器 begin if(~clr) begin clk1=1’b0; end else clk1=~clk1; end always @(posedge clk2 or negedge clr) begin if(~clr) begin count=0; clkout=1’b0; end else if(count==5) //只需要改count的值,即可实现不同模的分频 begin count=0; clkout=1’b1; end else begin count=count+1;clkout=1’b0; end end endmodule 五.同步清0和同步置1的D触发器(同步敏感信号只有一个) module jy(q,qn,d,set,reset,clk); input d,set,clk,reset; output q,qn; reg q,qn; always @(posedge clk) begin if(reset) begin q=0; qn=1; end //同步清零,高电平有效 else if(set) begin q=1; qn=0; end //同步置数,高电平有效 else begin q=d; qn=~d; end end endmodule 异步清0,异步置1的D触发器(异步敏感信号有多个) module jy(q,qn,d,set,reset,clk); input d,set,reset,clk; output q,qn; reg q,qn; always @(posedge clk or negedge set or negedge reset) begin if(!reset) begin q=0; qn=1; end //异步清零,低电平有效 else if(!set) begin q=1; qn=0; end //异步置数,低电平有效 else begin q=d; qn=~d; end end endmodule 求累加和++……+(n20) (1+2+3+……+n同理) module add(n,sum,clk); input [4:0] n; input clk; output [31:0] sum; reg

文档评论(0)

xingyuxiaxiang + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档