EDA(第3章)-09.ppt

  1. 1、本文档共35页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA(第3章)-09

* * CPLD/FPGA的开发与应用 第3章 硬件描述语言VHDL程序结构 VHDL的描述风格 VHDL的库及配置 VHDL的构造体 VHDL的实体 VHDL程序结构 VHDL程序基本结构 VHDL的基本设计单元 Entity(实体) 用来说明模型的外部特征。 Configuration(配置) 将实体和它的构造体联系起来。 Package(程序包) 构成库的基本单元,使实体和结构体内的数据类型、常量、子程序等对于其他设计单元可见,包括包头和包体两部分。 Library (库) 用来存放已编译过的设计单元,可作为其它设计描述的共享资源。 Architecture(构造体) 用来定义模型的功能。 设 计 实 体 库、程序包使用说明 (LIBRARY) 实 体 说 明 (ENTITY) 构 造 体 (ARCHITECTURE) GENERIC类属说明 配 置(CONFIGURATION) PORT端口说明 构 造 体 元 素 说 明 构 造 体 功 能 说 明 实体(ENTITY)说明语句的一般格式为: ENTITY 实体名 IS [类属参数说明] [端口说明] END 实体名; (斜体表示关键字;实体名为设计单元命名;[…]表示可选项;类属参数说明用以指定某些全局参数值;端口说明则定义了每个外部引脚的名称、模式和数据类型) 实体(ENTITY)用来描述设计单元的外部信息,即为设计单元命名,并说明端口。 实体(ENTITY) 端口说明的一般格式为: PORT(端口名{,端口名}:端口模式 数据类型; . . . 端口名{,端口名}:端口模式 数据类型); 例如,4-7译码器的端口描述: ENTITY YMQ4_7 IS PORT (Q1,Q2,Q3,Q4 : IN BIT; Y : OUT STD_LOGIC_VECTOR(7 DOWNTO 1)); END YMQ4_7; 设计实体端口模式说明 端口模式(MODE) 端口模式(MODE)有以下几种类型: IN ;OUT;INOUT ;BUFFER 端口模式可用下图说明:(黑框代表一个设计或模块) IN OUT BUFFER INOUT 实体(ENTITY)与电路图设计中的电路元件符号(Symbol) 相对应。 Symbol规定了电路元件的符号名、接口关系和数据类型,而ENTITY也具有同样的功能。 下面R-S触发器的例子可以看出两者间的对应关系。 (右边是传统设计中的符号图描述,左边是VHDL的设计描述) ENTITIE与SYMBOL对应关系 * 端口说明语句用关键词 PORT开头,用来描述实体对外界连接的端口名称、数目、方向和数据类型。 本例中,实体rsff有四个端口, Set/Reset是IN模式, Q/QB 是BUFFER(缓冲)输出模式,都为BIT类型。 RSFF实体的VHDL描述为:     ENTITY RSFF IS PORT ( set, reset : IN BIT; q,qb : BUFFER BIT); END RSFF; * 实体语句用关键词ENTITY开头,实体名RSFF对应于符号名SYMBOL,端口说明语句处于实体结束语句END RSFF;之间。 ENTITIE与SYMBOL对应关系举例 ENTITY cntm16 IS --实体 PORT (ci : IN std_logic; nreset : IN std_logic; clk : IN std_logic; co : out std_logic; qcnt : buffer std_logic_vector(3 downto 0) ); END cntm16; 信号名 端口类型 端口模式     构造体(ARCHITECTURE)说明语句的一般格式为: ARCHITECTURE 构造体名 OF 实体名

文档评论(0)

qwd513620855 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档