EDA(第6章)-09.ppt

  1. 1、本文档共47页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA(第6章)-09

多个进程语句 PROCESS1 PROCESSn signal signal ARCHI TECTURE 一个构造体 可以有多个 进程语句 进程和进程 之间是并行的 进程和进程之间的数据交换通过信号完成 Entity test1 is Port ( clk, d1, d2 : in bit; q1, q2 : out bit); end test1; architecture test1_body of test1 is begin Process (clk, d1) begin if (clk’event and clk = ‘1’) then q1 = d1; end if; end process; Process (clk, d2) begin if (clk’event and clk= ‘1’) then q2 = d2; end if; end process; end test1_body; Entity test1 is Port ( clk, d1, d2 : in bit; q1, q2 : out bit); end test1; architecture test1_body of test1 is begin P1:Process (clk, d2) begin if (clk’event and clk = ‘1’) then q2 = d2; end if; end process p1; P2:Process (clk, d1) begin if (clk’event and clk= ‘1’) then q1 = d1; end if; end process p2; end test1_body; This two processes execute in parallel The coding is executed in sequential within the process Two Processes 仿 真 结 果 The two process statement execute in parallel * * CPLD/FPGA的开发与应用 第6章 VHDL的并行语句 ? VHDL 的信号赋值语句 VHDL 的进程(Process)语句 VHDL的元件例化(Component)语句 VHDL的块(Block)语句 VHDL的常用语句 VHDL分并行(Concurrent)语句和顺序(Sequential)语句两大类 并行语句(Concurrent): 并行语句之间值的更新是同时进行的,与语句所在的位置和顺序无关。 并行语句总是处于进程(PROCESS)的外部。 所有并行语句都是并行执行的,即与它们出现的先后次序无关。 如when….else语句 顺序语句(Sequential): 顺序语句总是处于进程(PROCESS)的内部,并且从仿真的角度来看是顺序执行的。 如if-then-else语句 第6章 VHDL的并行语句 并行语句1 构造体 并行语句2 并行语句3 signal signal 构 造 体 构 造 体 元素说 明 构造体 功能说 明 常 数 说 明 数据类型说明 元件例化说明 信 号 说 明 子程序说明 块 语 句 信号赋值语句 元件例化语句 进 程 语 句 子程序调用语句 构造体组织结构 常用并行语句包括: 信号赋值语句 简单信号、条件信号、选择信号赋值语句 进程(Process)语句 元件例化(Component)语句 块(Block)语句 信号赋值语句 信号赋值语句是VHDL最基本的描述形式 并行信号赋值语句包括: 简单信号赋值语句(Simple Signal Assignments) 条件信号赋值语句( Conditional Signal Assignments ) 选择信号赋值语句( Selected Signal Assignments ) 简单信号赋值语句 基本格式: 目标信号 = 值或表达式 举例: signal a,b,c:bit; b=‘1’ AFTER 10ns; a = NOT(b) ; c = a xor b ; Simple Signal Assignment These kind of statements are executed in Parallel E

文档评论(0)

qwd513620855 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档