verilog硬件描述语言6任务和函数.ppt

  1. 1、本文档共67页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
verilog硬件描述语言6任务和函数

第五章 任务和函数 西安邮电学院计算机系 如同高级程序设计语言中的过程和子程序,verilog语言提供了任务和函数来完成类似的功能。利用任务和函数可以把一个很大的程序模块分解成许多较小的任务和函数便于理解和调试。输入、输出和总线信号的值可以传入、传出任务和函数。任务和函数往往还是大的程序模块中在不同地点多次用到的相同的程序段。学会使用task和function语句可以简化程序的结构,使程序明白易懂,在编写较大的测试程序时可以节省大量的时间。 task和function的相同点和不同点? 不同点: 任务(task) 通常用于调试,或对硬件进行行为描述 可以包含时序控制(#延迟,@, wait) 可以有 input,output,和inout参数 可以调用其他任务或函数 函数(function) 通常用于计算,或描述组合逻辑 不能包含任何延迟;函数仿真时间为0 只含有input参数并由函数名返回一个结果 可以调用其他函数,但不能调用任务 函数主要用来计算,任务却可以支持 多种目的 函数只能与主模块共用同一个时间单位,而任务可以定义自己的时间单位 函数返回一个值,而任务没有返回值。 函数的目的是通过返回一个值来响应输入信号的值。任务却能支持多种目的,能计算多个结果值,这些结果值只能通过被调用的任务的输出或总线端口送出。Verilog HDL模块使用函数时是把它当作表达式中的操作符,这个操作的结果值就是这个函数的返回值。下面让我们用例子来说明: 例如:定义一任务或函数对一个16位的字进行操作让高字节与低字节互换,把它变为另一个字(假定这个任务或函数名为: switch_bytes)。 任务返回的新字是通过输出端口的变量,因此16位字字节互换任务的调用源码是这样的: ?switch_bytes(old_word,new_word);? 任务switch_bytes把输入old_word的字的高、低字节互换放入new_word端口输出。 ?而函数返回的新字是通过函数本身的返回值,因此16位字字节互换函数的调用源码是这样的: ?new_word = switch_bytes(old_word); 相同点: 任务和函数必须在module内调用 在任务和函数中不能声明wire,所有输入/输出都是局部寄存器 任务和函数只能使用行为级语句,但是不能包含always和initial块。 任务/函数执行完成后才返回结果。 例如,若任务/函数中有forever语句,则永远不会返回结果 1) 任务的定义 定义任务的语法如下: 任务: task 任务名; 端口及数据类型声明语句 语句1 语句2 ..... 语句n endtask 这些声明语句的语法与模块定义中的对应声明语句的语法是一致的。 2) 任务的调用及变量的传递 启动任务并传递输入输出变量的声明语句的语法如下: 任务的调用: 任务名(端口1,端口2,...,端口n);? task my_task; input a, b; inout c; output d, e; … 语句 //执行任务工作相应的语句 … c = foo1; //赋初始值 d = foo2; //对任务的输出变量赋值t e = foo3; endtask 任务调用: my_task(v,w,x,y,z); ?任务调用变量(v,w,x,y,z)和任务定义的I/O变量(a,b,c,d,e)之间是一一对应的。当任务启动时,由v,w,和x.传入的变量赋给了a,b,和c,而当任务完成后的输出又通过c,d和e赋给了x,y和z。下面是一个具体的例子用来说明怎样在模块的设计中使用任务,使程序容易读懂: module traffic_lights; reg clock, red, amber, green; parameter on=1, off=0, red_tics=350, amber_tics=30,green_tics=200; //交通灯初始化 initial red=off; initial amber=off; initial green=off; //交通灯控制时序 always begin red=on; //开红灯 light(red,red_tics); //调用等待任务 green=on; //开绿灯 light(green,green_tics)

文档评论(0)

shujukd + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档