第3章节VHDL语言基础幻灯片.ppt

  1. 1、本文档共221页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
VHDL语言基础-条件信号赋值语句 【例3-55】用条件信号赋值语句描述四选一电路 entity mux4 is port(i0, i1, i2, i3 : in std_logic; sel : in std_logic_vector(1 downto 0); q : out std_logic); end mux4; architecture rtl of mux4 is begin q=i0 when sel = “00” else i1 when sel = “01” else i2 when sel = “10” else i3 when sel = “11”; end rtl; 条件信号赋值语句与进程中的多选择 if 语句等价: VHDL语言基础-选择信号赋值语句 选择信号赋值语句格式: with choice_expression select name = expression when choices, expression when choices, expression when others; 其中: choice_express为选择条件的表达式;name为 赋值过程的目标信号,expression为赋值过程的源表达 式,choices为条件表达式的具体的条件值。 VHDL语言基础-选择信号赋值语句 在应用选择信号赋值语句的时候应注意: 1)不能有重叠的条件分支。 2)最后条件可为 others。否则,其它条件必须能包含 表达式的所有可能值。 3)选择信号赋值语句与进程中的 case 语句等价。 VHDL语言基础-选择信号赋值语句 【例3-56】用选择信号赋值语句描述四选一电路 entity mux4 is port(i0, i1, i2, i3 : in std_logic; sel : in std_logic_vector(1 downto 0); q : out std_logic); end mux4; architecture rtl of mux4 is signal sel : std_logic_vector (1 downto 0); begin with sel select q=i0 when sel = “00” , i1 when sel = “01” , i2 when sel = “10” , i3 when sel = “11” , ‘X’ when others; end rtl; 选择信号赋值语句与进程中的 case 语句等价 VHDL语言基础-并行过程调用语句 子程序是独立的、有名称的算法。子程序可分为过程 (Procedure)和函数(Function)两类。只要对其声明, 在任何地方根据其名称调用子程序。 子过程调用格式: procedure_name(comma_separated_inputs,comma_ separated_outputs); 其中: 1)procedure_name为子程序的名字, 2)()里面为用’,’号分割的输入变量和输出的变量的名 字。 VHDL语言基础-并行过程调用语句 函数调用格式: signal_name = function_name(comma_separated_inputs); 其中: 1)signal_name为所需要赋值的信号的名字, 2)function_name为函数的名字,()里面为用’,’号分 割的输入变量的名

文档评论(0)

精品课件 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档