基于vhdl编程fpga的地铁自动售票机设计说明书.doc

基于vhdl编程fpga的地铁自动售票机设计说明书.doc

  1. 1、本文档共38页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
基于vhdl编程fpga的地铁自动售票机设计说明书

地铁自动售票机 一、设计要求 1、功能描述 用于模仿地铁售票自动售票,完成地铁售票的核心控制功能。 ???????? 售票机有两个进币孔,一个是输入硬币,识别的范围是一元硬币;一个是纸币,识别的范围是一元、两元、五元、十元、二十元。乘客可以连续多次投入钱币。乘客 一次只能选择一个出站口,购买车票时,乘客先选出站口,有六个出站口可供选择,再选择所需的票数,然后投币,投入的钱币达到或者超过所需金额时,售票机自 动出票,并找零。本次交易结束后,等待下一次交易。在选择出站口、所需票数以及在投币期间,乘客可以按取消键取消操作,钱币自动退出。 1、? 买票时,乘客按下开始键,售票机进入站台选择程序,乘客选择出站口后,可以按取消键重新选择,否则售票机自动进入票数选择程序,同样这时可以按下取消键重新开始选择出站口以及票数。 2、? 当选择好出站口以及所需票数时,乘客可以投硬币或者用纸币,当所投的钱币总额大于或者等于票价时,售票机自动出票以及找零。期间,可以按下取消键重新开始选择,并退出所有的钱币。 3、? 乘客若还没选择出站口或者票数,就投币或者使用纸币,售票机会自动退出所有的钱币。 4、? 有六个站台可供乘客选择,每个乘客最多可以买3张票,六个站台编号为1到6,票价从2元依次递增到7。 ? 四、程序源代码 LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.std_logic_arith.ALL; USE IEEE.std_logic_unsigned.ALL; ENTITY metrosell IS ??? PORT( ???????????? clk:in std_logic;??????????????????????????????????????????????????????????????? --set the clock signal ???????????? startselect:in std_logic;?????????????????????????????????????? --start to select the platform ???????????? sure:in std_logic;???????????????????????????????????????????????????????????? --this button is to save your forward step(s) ???????????? coin1y:in std_logic;??????????????????????????????????????????????? --1 yuan coin ???????????? pmoney1y:in std_logic;????????????????????????????????????????????????? --1 yuan paper money ???????????? pmoney2y:in std_logic;????????????????????????????????????????????????? --2 yuan paper money ???????????? pmoney5y:in std_logic;????????????????????????????????????????????????? --5 yuan paper money ???????????? pmoney10y:in std_logic;??????????????????????????????????????????????? --10 yuan paper money ???????????? pmoney20y:in std_logic;??????????????????????????????????????????????? --20 yuan paper money ???????????? cancel:in std_logic;??????????????????????????????????????????????? --cancel the forward step(s) ???????????? number:in std_logic_vector(3 downto 0);???????????????? --choose the number of the tickets ???????????? platform:in std_logic_vector(3 downto 0);????? --choose the platform you want to reach ???????

文档评论(0)

shenlan118 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档