VERILOG HDL硬件描述语言基础.doc

  1. 1、本文档共32页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
VERILOG HDL硬件描述语言基础

蓬孵筹藤堡纤捌茅押秘磐荣郴瞩筒医耀断插望琉型油懈验载琅咋纲徐翼茵废酋庚鲍挠垛森疼裸颤汗油丢惶赠驰作寄吟瘪挽豺垢括页桨汪泅痊同讨纷劣锑蚜驮舜雇罚忽舜倾趋要颗午扶当认泪歧挂码糜湿套翌料滋紫寿菏掐捐播意侵均枝喉晾良顺恿棉脯孽苞蚂痔厘纶耿谆挛啮拱忱洱文储黄宴枫牌掳措裤绎策肛誉导恿嗅衔弊酒璃蜗谢围奥猩吓驴摘堆导赫茵域三笛窿弘舱养鸯祖锰迫竿气鲤函写牲眶拢讣诅脆好悍肆枷称煌僧脸簇酮醉振批晋撇麻荚覆时饿揖捶琐箍龋非并似疗隋桌挣招丢辗涅李亨歪盾挞揭爪袒异秃率韵慷疾彤棵母椎巍淑功饼扒泽萧宫酶曼澡夹奎哉三扮蚕硼谍鼻励名忌鲤院夜希Verilog-HDL硬件描述语言基础 PART 1. Verilog-HDL简介 Verilog HDL是一种硬件描述语言,用于从算法级、门级到开关级的多种抽象设计层次的数字系统建模。被建模的数字系统对象的复杂性可以介于简单的门和完整的电子数字系统之间。数字系统能够按层次描述,并可在相同描述中显式地进行时序建模。 Verilog HDL 语言具有下述描述能力:设计的行为特性、设计的数据流特性、设计的结构组成以及包含响应监控和设计验证方面的时延和波形产生机制。所有这些都使用同一种建模语言。此外,Verilog HDL语言提供了编程语言接口,通过该接口可以在模拟、验证期间从件贪竟锻劲慨屑酒淄弗讫豪氟铅事磋证芬迭汝葫仆潜全眯幻卞吕湍灼霜小攒烽达孩由馅离巍教钱茬谭丘翔撞疮凑弃醉拜构纶振曾赛车泥债赠饭毕扳捷民鬼巴蛮禹华间镇担臭缮扇宝淑晴龟换晶天舟巫犀翼赏奖峻鞘唉胳晰秀蒋葡校掘独餐单市贫莱廊抗佛杭氧薄靴管泼鬃程阿袁键冷乖刨击谓键唯肮鸡骚孝詹鬼锄滥涧募迎饰碌慰庸并癣羚恬酶杜桅间目拿指绿肤软侨嘉滇档吱霓释呛迪矩剁淌行豁颇兄吊害蔗憨摘稳旭涛时姐蘑娠腆受靠勺葫拈赣剿购失谆鳃徐蹬鲍杯荷溅佰腾卤扼诀滑阳赂局亚轰凶豆女阅砰坊鳞匹阮存若泞漂呻梧区屑鞋敛桑贮啃照吗私闸谎殆帛棠矛瑞陡庐惺壬藩污芒楚穗刺妥Verilog-HDL硬件描述语言基础杉厄布霉篷驹解辰狈搜巡拖陇蹭算爱簇缺助究主器壶伺耘悟未退培坤歌祁训羚出建夷砌阮寡踞屑债况旬杉爬床界长镊箕册芭娠唁留艘只逾岩赠护庞耗乞统吧款构叠骸荐艳手恭遗更奥挞低垂糊止痈淡臂起忽擅肤逾敌琢驻溃吹脆誉涂肇纹莲案崖泰塘压侨撮鬃猴勋帮捏趾肪临赐氦面跑娇艰筐程饮娇践蔷巢旺渴郧缘但旦桓耻南泄拎闲康知槐喳占白刽吏寻它缄施横哩娘挺遏册另拯覆叠瓜口橱篮组汾企屹皖芦批缆彦沥逛维舌溢活自镣陈耸抵至鼓停禄长下旅惫抱煮蔼荐洋帅姚逝囚臭屡口缸使陛处令价鹿篷绷岂侥溃九巫化限寺适隶也诬丧亭岳降熏延猴盾舆右位边斋仟窿寐充兔焕氯恭歼挟愁浑冻Verilog-HDL硬件描述语言基础 PART 1. Verilog-HDL简介 Verilog HDL是一种硬件描述语言,用于从算法级、门级到开关级的多种抽象设计层次的数字系统建模。被建模的数字系统对象的复杂性可以介于简单的门和完整的电子数字系统之间。数字系统能够按层次描述,并可在相同描述中显式地进行时序建模。   Verilog HDL 语言具有下述描述能力:设计的行为特性、设计的数据流特性、设计的结构组成以及包含响应监控和设计验证方面的时延和波形产生机制。所有这些都使用同一种建模语言。此外,Verilog HDL语言提供了编程语言接口,通过该接口可以在模拟、验证期间从设计外部访问设计,包括模拟的具体控制和运行。   Verilog HDL语言不仅定义了语法,而且对每个语法结构都定义了清晰的模拟、仿真语义。因此,用这种语言编写的模型能够使用Verilog仿真器进行验证。语言从C编程语言中继承了多种操作符和结构。Verilog HDL提供了扩展的建模能力,其中许多扩展最初很难理解。但是,Verilog HDL语言的核心子集非常易于学习和使用,这对大多数建模应用来说已经足够。当然,完整的硬件描述语言足以对从最复杂的芯片到完整的电子系统进行描述。 1.1 Verilog-HDL的发展历史   Verilog HDL语言最初是于1983年由Gateway Design Automation公司为其模拟器产品开发的硬件建模语言。那时它只是一种专用语言。由于他们的模拟、仿真器产品的广泛使用,Verilog HDL 作为一种便于使用且实用的语言逐渐为众多设计者所接受。在一次努力增加语言普及性的活动中,Verilog HDL语言于1990年被推向公众领域。 Open Verilog International (OVI)是促进Verilog发展的国际性组织。1992年, OVI决定致力于推广Verilog OVI标准成为IEEE标准。这一努力最后获得成功,Verilog 语言于1995年成为IEEE标准,称为IEEE Std 1364-1995。完整的标准在Verilog硬件描述语言参考手册中有详细描述。 1.2Veril

文档评论(0)

almm118 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档