电子时钟设计汇总.docVIP

  1. 1、本文档共22页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
电子时钟设计汇总

电子时钟设计 基本功能要求: 设计一个电子时钟,要求可以显示时、分、秒,用户可以通过按键来设置时间。 扩展功能要求: 秒表功能,闹钟功能,调整数码管的亮度。 试验箱设置: 选择模式7(见附图1); 数码管8左边的跳线选择CLOSE(数码管连接关系看课本406页图F-8); 整体原理图: 管脚分配图: 本设计的原理图: 报告具体内容: 设计目的 设计一个电子时钟,可以显示时、分、秒,用户可以设置时间。扩展功能为秒表功能,闹钟功能,调整数码管的亮度。 设计内容 整体功能 》分模块设置调表,跑表,闹钟,speaker,秒表,走表模块设置,最后用一个三选一选择器对各模块进行选择,最后输入到扫描模块中进行输出。 》调表模块:1.通过键1键4对分秒时进行位选择和位加,将调表的输出分别接到跑表和模式选择中,接到跑表中是设置完时间后可以继续计时输出,接到模式选择中,可以通过模式2输出调表设置的时间。 》闹钟设置:同设置模块,键1键4是对位选跟位加,而闹钟一定要接到speaker中,与输入到speaker中的跑表对比,当走表走到设置的时间时,speaker会发出响声。 》speaker:将speaker的输出接到板子上的speaker中,当一定要接一个clk输出,speaker才能发出震动输出响声。 》跑表模块:用键1 来给跑表覆初值,通过输入1HZ的时钟来加数,正常走表的输出要接在模式选择上,通过模式1来进行选择输出走表功能。 》秒表功能:语言跟走表相似,但开始是,要先对秒表用信号覆初值为0,通过键1来清零,秒表的输出要接在模式选择上,通过模式3对秒表进行输出。 》模式选择:模式选择的实现是通过一个三选一选择器对各功能进行选择,将输出接到位扫描器上,通过位选和段选来输出。 》扫描输出: 通过对左侧的段选输入对数码管的各段进行显示,通过对右侧各位进行选通来达到位选择输出的目的,用10000HZ的频率来刷频就不会看到输出时输出的转换,亮度调节是对通过改变选通位选信号的不同占空比来达到调节亮度的目的,键5 是用作不同的占空比输出,在调节占空比时是对输入信号低位和高位进行占空比调节,具体见程序代码中。 三 电子钟的整体VHDL 语言描述 分频器的vhdl语言 library ieee; use ieee.std_logic_1164.all; --分频 use ieee.std_logic_unsigned.all; entity fenpin is port( clk:in std_logic; clkend_100:out std_logic; clkend_10000:out std_logic; clkend_1:out std_logic); end; architecture behv of fenpin is signal clk1_tmp:std_logic; signal clk100_tmp:std_logic; signal clk10000_tmp:std_logic; signal cnt5000000:integer range 0 to 5000000; signal cnt50000:integer range 0 to 50000; signal cnt5000:integer range 0 to 500; begin HZ1: process(clk) begin --输出1HZ频率,作为跑表时钟 if clkevent and clk=1 then if cnt50000004999999 then cnt5000000=cnt5000000+1; else cnt5000000=0; clk1_tmp=NOT clk1_tmp ; end if; end if; end process; HZ100: process(clk) begin if clkevent and clk=1 then --输出100HZ频率,作为秒表时钟输入 if cnt5000049999 then cnt50000=cnt50000+1; else cnt50000=0; clk100_tmp=NOT clk100_tmp ; end if; end if; end proces

文档评论(0)

liwenhua11 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档