EDA技术与VHDL试验指导书庞鹤.doc

  1. 1、本文档共9页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA技术与VHDL试验指导书庞鹤

EDA技术与VHDL实验指导书 姓 名:庞 鹤 学 号:10102275010 指导老师:许清泉 电气中心实验室编制 2011-11 前 言 《EDA技术与VHDL实验指导书》是与理论课程《EDA技术与VHDL》配套开出的,是电子信息工程等专业的一门专业选修课程,对电子信息工程等专业的学生具有非常重要的作用。本实验课与理论课同时进行,与理论课有着较密切的联系。因此学生在做本实验之前必须具备1.一定的C语言基础;2.电路与电子技术的基础知识;3.计算机辅助设计的基本操作能力;4.理论联系实践的自学能力。 学生学习完本实验课后,应具有1.能熟练使用Quartus II等EDA开发工具软件;2.掌握CPLD/FPGA芯片的基本使用方法,能用现代数字系统的设计方法进行基本的数字系统设计;3.掌握图形编辑和VHDL文本编辑两种设计方法,重点是VHDL文本编辑;4.具备基本的开发能力,为后续学习打下坚实的基础等方面能力。 从70 年代第一片可编程逻辑器件PROM的诞生到现在的CPLD/FPGA,数字系统的设计发生了本质的变化。基于CPLD/FPGA和EDA(电子设计自动化)工具进行数字系统的设计与开发。它代表了数字电信领域的最高水平,给数字电路的设计带来了革命性的变化。从传统的对电路板的设计到现在的基于芯片的设计,使得数字系统设计的效率大大提高,产品更新速度大大加快,设计周期大大变短。所以本科生学习本课程有着非常重要的意义。 本实验不同于其它实验,其实验手段和实验方法都有重大的变化,要求实验前提交预习报告,检查通过后方可实验,设计与综合实验环节。本实验是在PC平台上,用原理图或文本进行输入,然后进行编译,通过之后再进行波形仿真,如有缺陷,对源文件进行修改。利用ISP技术、采用EDA工具、应用PLD 器件,在PC及EDA开发平台上进行。 实验一 组合逻辑电路设计 实验学时:3学时 实验类型:验证 实验要求:必做 一、实验目的 1.熟练掌握QuartusII开发工具软件; 2.掌握门电路VHDL语言程序设计方法; 3.掌握选择器VHDL语言程序设计方法; 4.掌握加法器VHDL语言程序设计方法; 5.熟悉VHDL编程的基本方法; 二、实验原理 1.二输入与门 二输入与门是我们数字电路中的一个基础逻辑门电路,是最基本的逻辑门电路之一,也是最简单的逻辑门之一。它能实现两个输入端的相与,一般有三个端口。二输入与门的表达式是:Y=ab。二输入与门的逻辑符号如图1-1所示,真值表如表(1)所示。 图1-1 与门逻辑符号 表1-1 与门真值表 2.四选一选择器 四选一选择器如图1-2所示,真值表如表1-2所示。 图1-2 mux4管脚图 表1-2 mux4真值表 3.半加器 半加器是全加器的基础,半加器所实现的功能与全加器基本相同,只是少了一个进位位,即没有进位。半加器的逻辑图如图1-3所示。半加器真值表如表1-3所示。 图1-3 半加器 表1-3 半加器真值表 在图1-3中,半加器管脚说明为a和b是输入端,so是和数端,co为进位端。 三、实验内容 1.二输入与门 (1)QuartusII文本编辑环境下,打开新文本,编写两输入与门VHDL语言源程序,程序设计如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY and2 IS PORT(a,b: IN STD_LOGIC; Y: OUT STD_LOGIC); END and2; ARCHITECTURE behave OF and2 IS BEGIN Y = a and b; END behave; (2)对源程序进行编译,按照提示进行修改,直至编译通过。 (3)对编译程序进行仿真,分析并记录仿真波形,其仿真波形图如图1-4所示。 图1-4 二输入与门仿真图 (4)在自己的目录下保存相应的源文件、波形文件。 2.四选一选择器 (1)QuartusII文本编辑环境下,打开新文件,编辑四选一VHDL源程序文件,其程序设计如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY mux4 IS PORT(A: IN STD_LOGIC_VECTOR (1 DOWNTO 0); D0,D1,D2,D3:IN STD_LOGIC;

文档评论(0)

2105194781 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档