EDA技术及应用试验指导书.doc

  1. 1、本文档共34页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA技术及应用试验指导书

《EDA技术及应用》实验指导书 前 言 2 第一章 预 备 知 识 3 一、EDA实验概述 3 二、MAX+plus Ⅱ软件简介 3 三、EPM7128简明使用流程 8 第二章 实验部分 15 实验一 设计8-3优先编码器 15 实验二 设计4-16译码器 17 实验三 设计四位全加器 21 实验四 设计八位循环右移寄存器 23 实验五 设计序列信号检测器 26 实验六 设计数字秒表 28 前 言 用最先进的科学理论和技术武装自已的莘莘学子是各高等院校义不容辞的责任。在微电子技术一日千里的今天,器件的集成度越来越高,运行速度越来越快,功能越来越强,使用越来越灵活、方便。复杂可编程逻辑器件(CPLD)的问世和不断升级使电子设计自动化(EDA)成为可能,这正是电子工程系、自动化系、计算机科学与工程系各相关专业梦寐以求的,一些高校先后开设了CPLD或EDA课程,研制配套仪器因此势在必行。 电子线路包括数字和模拟两大部分,以前做实验都是根据目的和任务,先进行原理设计,再选器件组装、调试,如未通过,则应查排故障,其中硬件连线是薄弱环节,因为接触不良造成功亏一篑的现象时有发生。虽然模拟电子线路部分设计自动化问题尚未解决,人们正在努力,但复杂可编程逻辑器件及相应编程软件的开发成功却使数字电子线路部分做实验免除了选器件、画实际连线图和组装之苦以及接触不良带来的烦恼。它实现了硬联逻辑软化,只要输入设计好的原理图或硬件描述语言,借助随机带的 CAD软件就能自动完成逻辑电路的布局和布线,这是传统硬件实验的变革,无疑会大大提高实验效率,增强学生的实验兴趣和信心,让他们充分享受高科技和自动化带来的喜悦。 考虑到实验应为理论教学服务,为后续课打牢基础,我们编排了“四位全加器、“译码器、“数据选择器、“编码器、“移位寄存器、“序列检测器、“设计数字秒表等实验,也可借此软硬件平台开发出更多更好的实验来。 第一章 预 备 知 识 一、EDA实验概述 EDA实验与相关课程课堂理论教学相辅相成,诚如斯大林所说,没有实践的理论是空洞的理论,而没有理论指导的实践则是盲目的实践。电子设计自动化实验能充分体现“自动化“的威力,学生只要做好原理设计或输入硬件描述语言,在硬件平台的基础上运行配套的CAD软件,就可观测到相应于给定输入线路的输出信号,省去了繁杂的插拔芯片和硬件连线工作,节省的时间可用来加大实验的深度和广度,使学生在最短的时间取得最大的收获。 EDA实验可开展成设计性实验,有助于培养学生独立分析问题和解决问题的能力,电子线路原理设计能力、应用计算机能力、实验调试能力、仿真能力、新产品开发能力和实验报告写作能力,完全符合国家教育部关于培养厚基础、宽口径、高素质、强能力人才的指示精神。海阔凭鱼跃,天高任鸟飞,EDA为创新提供了极佳环境,学生可以充分利用沈飞电子科技发展有限公司提供的EDA实验箱硬、软件平台,不拘于指导书中列出的项目,大胆想象展示自己的才华,设计、调试出功能丰富、形式多样的新电子线路来,体现电子时代的风采,让实践活动五色斑斓。 EDA实验的基本步骤为:根据实验要求,欲达到的目的及所具有的PLD器件确定输入输出信号以及它们之间的逻辑关系,进行总体功能设计;利用随箱提供的辅助设计软件,选择逻辑表达式或线路图形中的一种方法输入,编写文本文件或图形文件,经编译、适配直到产生正确的熔丝图文件,将TDS-4型数字系统综合实验平台实验仪与PC机通过电缆相连,在PC机上运行相应的CAD软件,将熔丝图文件下载到TDS-4中的PLD器件中,采用单步或连续运行方法,观察实验结果,如有错误,在上位机修改自己的程序,重编译,重下载,反复调试直到正确为止,观察实验现象并进行总结。 PLD是Programmable Logic Device(MAX+plus Ⅱ软件简介 Altera公司的MAX十plus Ⅱ开发系统是一个完全集成化、易学易用的可编程逻辑设计环境,它可以在多种平台上运行。MAX十plus是 Multiple array matrix and programmable logic user system的缩写,目前已发行到了第10.1版本。它所提供的灵活性和高效性是无可比拟的,其丰富的图形界面,辅之以完整的、可即时访问的在线文档,便设计人员能够轻松、愉快地掌握和使用 Max十 plus Ⅱ软件。 一)MAX+plus Ⅱ功能特点 1)开放的界面 Altera的工作与EDA厂家紧密结合,使MAX+plus Ⅱ软件可与其它工业标准的设计输入、综合与校验工具相连接。设计人员可以使用Altera或标准EDA设计输入工具来建立逻辑设计,使用 MAX十plus Ⅱ编译器(Compiler)对Altera器件设计进行编译,并使用 Al

文档评论(0)

2105194781 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档