第3章 硬件描述语言基础.ppt

  1. 1、本文档共435页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
第3章 硬件描述语言基础 内容提要: 3.1 VHDL基本结构 3.2 VHDL的对象、数据类型及运算操作符 3.3 VHDL的主要描述语句 3.4 VHDL的预定义属性 3.5 有限状态机 3.1 VHDL的基本结构 一个完整的VHDL程序(源代码)通常包括以下5个部分: 例:与非门的VHDL程序。 第3章 硬件描述语言基础 内容提要: 3.1 VHDL基本结构 ? 3.1.1 VHDL设计的基本单元及结构 3.1.2 结构体的描述形式 3.1.3 VHDL结构体的子结构 3.1.4 库、程序包和配置 3.2 VHDL的对象、数据类型及运算操作符 3.3 VHDL的主要描述语句 3.4 VHDL的预定义属性 3.5 有限状态机 3.1.1 VHDL设计的基本单元及结构 VHDL设计中的基本单元就是设计实体,它用以描述完整系统、电路板、芯片、逻辑单元或门电路。 ① 实体说明 Entity __entity_name is Generic ( __parameter_name : string := __default_value; __parameter_name : integer:= __default_value); Port( __input_name, __input_name : in std_logic; __bidir_name, __bidir_name : inout std_logic; __output_name, __output_name: out std_logic ); End __entity_name; 1) 实 体 名 实体名实际上是器件名,最好根据相应的电路功能确定。如4位2进制计数器用counter4b;8位加法器用add8b;3/8译码器用ym_38。 使用类属参数说明语句易于使设计具有通用性。 例:在电路中多次使用二输入与门电路,但延迟时间不同。 二输入与门电路的VHDL程序。 现在要求实现这样一个设计: 由三个二输入与门电路组成下图所示的四输入与门功能,要求门1的延迟时间为5ns,门2的延迟时间为6ns,门2的延迟时间为7ns。 其VHDL程序如下: 3) 端口说明 说明内容 端口说明是用来描述设计实体与外部的接口,是对端口名称、数据类型和模式(方向)的描述。每一个输入输出信号都称为端口,对应于电路图上的一个引脚。 格式 a. 端口名称 是端口的标识符,由字母、数字和下划线组成,其命名规则为: 第一个字符必须是字母; 下划线不能连用; 最后一个字符不能是下划线; 不区分大小写; 命名不能与保留字相同。 如:不合法的例子 _clk, 8mclk, data_ _bus, buffer, data_ b. 端口模式(方向): 在端口说明中,模式有五种:输入、输出、双向、缓冲和链接。 输入模式(in):信号自端口进入实体,而实体内部的信号不能从该端口输出。 输出模式(out):信号从实体内部经该端口输出,而不能通过该端口向实体内部输入信号。 双向模式(inout):可以输入也可以输出,实体内部的信号和外部向实体内部输入的信号都可以经过该端口。 缓冲模式(buffer):可以作为实体内部的驱动即用于实体内部的反馈。只能连接内部信号和具有缓冲模式的端口。 链接模式(linkage):用来说明端口无指定方向,可以与任意方向的信号相连。 说明: 1)双向模式可以代替输入、输出和缓冲模式的任何一种。 2)“out”和“buffer”都可以定义输出端口。其区别: c. 数据类型 在VHDL语言中有10种数据类型。在逻辑电路中只用到两种: bit(位)和bit_vector(位向量)。 bit:为位逻辑数据类型,信号取值是逻辑值“1”和“0”。 bit_vector:取值是一组二进制位的值。 如:8位数据总线数端口 3)在某些VHDL程序中,数据类型的说明符号有所不同,如: 实 体 举 例 Entity bla

文档评论(0)

wxc6688 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档