第4章 应用VHDL语言方法设计八位二进制加法器 《EDA技术 知识》PPT .pptVIP

第4章 应用VHDL语言方法设计八位二进制加法器 《EDA技术 知识》PPT .ppt

  1. 1、本文档共69页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
第4章 应用VHDL语言方法设计八位二进制加法器 《EDA技术 知识》PPT .ppt

【要求】 应用VHDL语言设计八位二进制加法器 【知识点】 应用VHDL语言设计八位二进制加法器 掌握VHDL语言输入法 掌握VHDL语言层次化设计方法 【重点和难点】 应用VHDL语言设计八位二进制加法器 ;第4章 应用VHDL语言方法设计 八位二进制加法器;一、任务的陈述 用VHDL语言设计出一个八位二进制加法器,并通过编译及时序仿真,检查设计结果。 二、任务的背景 加法器是常见的基本逻辑模块,它可作为一些数字系统的运算模块,还可作为许多算法,比如乘法、减法、除法或FFT运算的组成部分。第3章己经引导大家运用原理图输入法设计八位二进制加法器,本章再引导大家运用VHDL语言输入法设计八位二进制加法器。 ;下一页;下一页; 一、 Quartus II的VHDL输入法 Quartus II 的常用输入法有原理图输入法和 HDL 输入法。HDL 输入法又有AHDL, VHDL和Verilog HDL三种语言,本书 主要介绍VHDL语言。下面以全加器设计为例介绍 Quartus II 的VHDL文件的输入编辑和编译仿真方法。 (一)输人编辑VHDL文件 1.建立工程项目 打开 Quartus II 软件,执行File = New Project Wizard命令,建立工程,如图4-5所示。 执行该命令后,在所弹出的图4-6界面中单击Next按钮。 ; 然后,在弹出的指定工程名称对话框中,填写第一、第一和第二个文本框的内容,如图4-7所示,其中第一、第一和第二个文本框分别是工程项目口录、工程项目和工程项目顶层设计实体的名称。 单击Next按钮,出现如图4-8所示的添加工程文件对话框。 这单没有文件击添加,单击Next按钮进行下一步,选择FP GA器件的型号,如图4-9所示。 单击Family下拉框,根据需要选择器件的系列,比如Cyclone系列FPGA 。然后在“Available devices:”中选择需要的器件的型号,比如“EP1C3T144C8”,注意在Show in Available devices list一栏中选上“Show Advanced Devices”以显 示所有的器件型号。单击Next按钮,出现如图4-10所示的对话框。 ;下一页; 将“Add file to current project”选项选上,使该文件添加到刚建立工程中去,如图4-14所示。 3.编辑VHDL文件 在VHDL编辑器下输入编辑下面源代码,并保存,如图4-15???示。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_ signed.all; entity fulladd is port( cin : in std_ logic;----来自低位的进位 a,b : in std_ogic; ---加数及被加数 ; s : out std_ logic;---本位和 cout : out std_logic ---向高位的进位 ); End; architecture one of fulladd is signal crlt: std_logic_vector(1 downto 0); begin crlt=(0a)+(0b)+cin; s=crlt(0); cout=crlt(1); end;;(二)编译仿真VHDL文件 1.编译VHDL文件 执行Processing=Start Compilation命令,如图4-16所示,进行编译。 若通过,则弹出如图4-17所示对话框。 若不通过,先双击第一个错误提示,可使鼠标出现在第一个错误处附近,检查纠正,第一个错误后保存再编译,如果还有错误,重复以上操作,直至最后通过。 2.仿真VHDL文件 执行File=New,如图4-18所示,选择Other Files中的Vector Waveform File ,然后确定。 ; 出现文件编辑器,如图4-19所示。 在图4-19中鼠标所在处单击鼠标右键,出现图4-20所示菜单,选择InsertNode or Bus…命令。 出现图4-21所示的对话框,单击Node Finder…按键。 在出现的图4-22所示的对话框中单

文档评论(0)

yuzongxu123 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档