FPGA与数字系统设实验七 数码管的动态显示电路.pptVIP

FPGA与数字系统设实验七 数码管的动态显示电路.ppt

  1. 1、本文档共7页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
FPGA与数字系统设实验七 数码管的动态显示电路

数码管的动态显示电路 实验内容 1.设计一个动态显示电路,在8个数码管上分别显示1,3,5,7,9,A,C,F。输入为CLK,输出为段码SEG[6..0]和位控SCAN[7..0]。 2.设计一个动态显示电路,在4个数码管上分别显示QD[3..0],QC[3..0],QB[3..0],QA[3..0]。 实验原理分析 LED数码管根据LED的接法不同分为共阴和共阳两类。 以共阴式为例,如把阴极接地,在相应段的阳极接上正电源,该段即会发光。拿8位8段共阴极数码管来说,每一位都是将8个发光二极管(下面简称LED)的负极接在一块,这个就是位选,接上低电平算是选通该位。相同字段LED的正极连在一起,也有八根线,接上高电平即点亮相应段。 一般情况下8个位选只有一个选通,8个段选如果是数字2,那么选通的那一位数码管就会显示2,其它位数码管都是黑的。延迟一段再熄灭它,再选通另一位显示在那一位该显示的数字,延迟一段再熄灭,如此循环。人眼有暂留效应,只要8个数码管循环选通的速度足够快,人眼看起来就是几个数字稳定地显示在8位数码管上。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; ENTITY display IS PORT( clk:IN STD_LOGIC; seg:OUT STD_LOGIC_VECTOR(6 DOWNTO 0); scan:OUT STD_LOGIC_VECTOR(7 DOWNTO 0)); END display; ARCHITECTURE behave OF display IS SIGNAL counter:STD_LOGIC_VECTOR(2 DOWNTO 0); SIGNAL xianshi:STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN PROCESS(clk) BEGIN IF (clk’EVENT AND clk=‘1’) THEN counter=counter+1; END IF; END PROCESS; PROCESS(counter) BEGIN CASE counter IS WHEN “000”=scan=;xianshi=“0001”; WHEN “001”=scan=;xianshi=“0011”; WHEN “010”=scan=;xianshi=“0101”; WHEN “011”=scan=;xianshi=“0111”; WHEN “100”=scan=;xianshi=“1001”; WHEN “101”=scan=;xianshi=“1010”; WHEN “110”=scan=;xianshi=“1100”; WHEN OTHERS=scan=;xianshi=“1111”; END CASE; END PROCESS; PROCESS(xianshi) BEGIN CASE xianshi IS WHEN 0000=seg=0111111; WHEN 0001=seg=0000110; WHEN 0010=seg=1011011; WHEN 0011=seg=1001111; WHEN 0100=seg=1100110; WHEN 0101=seg=1101101; WHEN 0110=seg=1111101; WHEN 0111=seg=0000111; WHEN 1000=seg=1111111; WHEN 1001=seg=1101111; WHEN 1010=seg=1110111; WHEN 1011=seg=1111100; WHEN 1100=seg=0111001; WHEN 1101=seg=1011110; WHEN 1110=seg=1111001; WHEN 1111=seg=1110001; WHEN OTHERS=NULL; END CASE; END PROCESS; END behave;

文档评论(0)

130****9768 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档