数字逻辑课程设计 篮球计时器.doc

  1. 1、本文档共12页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
数字逻辑课程设计 篮球计时器

计算机与信息学院《数字逻辑》课程设计报告专 业 班 级 学生姓名及学号 课程教学班号 任 课 教 师 实验指导教师 实验地点 20 ~20 学年第 学期 课程设计题目:篮球比赛计时器设计要求:设计篮球比赛计时器使其具有下述功能:(1)篮球比赛上下半场各20分钟,要求能随时暂停,启动后继续计时,一场比赛结束后应可清零重新开始比赛。(2)计时器由分、秒计数器完成,秒计数器为模60,分计数器应能计至40分钟。(3)“分”、“秒”显示用LED数码管,应配用相应译码器。(4)人工拨动开关来控制计时器的启动/暂停。(5)半场、全场到自动会有相应的提示设计目的∶1,掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;2,进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力;3,初步掌握使用EDA(电子设计自动化)工具设计数字逻辑电路的方法,包括设计输入、编译、软件仿真、下载和硬件仿真等全过程;设计原理∶篮球计时器的基本结构是由一个60进制计数器和一个二十进制计数器构成,分别对秒,分进行计数,秒计数器计数达到59秒时,在这时会产生一个高电平的进位信号,并且秒计数器清零,这个进位信号会使分钟计时器开始工作进行计时,此进位信号为高电平有效,平时当秒计数器没有达到59时,会让此信号为低电平,就使分计时器不工作,而当分计时器到达20,秒计时器到达00时,当时间计数到20分00秒时,此时会产生一个高电平信号,使得电箱上的喇叭一直响,此时计时器也停止计数,相当于篮球比赛中的半场或者全场时间到时的提示.如果此时是半场到了的话,请按下开关K3,这个开关K3会使得计数重新清零,并且提示半场状态的变量会变成2,此时开始进入下半场,并且一个LED数码管会显示数字2,代表此时已经是下半场了,如果是上半场的话,这个数码管会显示为1,接下来就是下半场的计时,如果你想在某个时刻停止计时的话那么就可以按下开关K2,这个K2能使得该计数器不再工作,只有你重新把开关K2打到高电平时,此计数器才会重新工作,当这个计数器到达下半场的20分钟00秒时,同样会停止计时,并且一直响喇叭,此时相当于提示你全场比赛结束,如果你还想重新清零计时的话,那么只有按下clear开关重新清零计时.由于计数器的计数时钟CLK为1Hz的标准信号,那么我们只有对电路箱上的100MHz进行分频,通过计数,当计数达到50000时候,信号取反,通过这样的方式可以把100MHz的信号分成1Hz.此实验用到了5个LED数码管,两个用来显示秒的状态,两个用来显示分的状态,最后一个用来显示半场的状态(1代表上半场,2代表下半场),有三个开关,一个用来在全场结束后或者比赛中清零重新计时,一个用来暂停或继续计时,最后一个用来在上半场结束后进行下半场的继续计时.三、所需器件与设备:1、TDS 系列数字电路实验系统2、ISP 系统可编程器件以及《数字逻辑》课程实验所用部分中、小规模集成电路等3、PC 计算机4、ISP1032E 可编程逻辑器件以及数据选择器、触发器、移位寄存器、计数器及基本门电路等5、在Windows 平台上运行的ispLEVER 编程软件四、实现涉及的几个重要模块如下:(1)分频器将高速的外部时钟频率分频成每秒钟一次的时钟频率,以便进行时钟计数,通过利用计数的原理,当计数达到49999的时候对信号取反,以达到分频的效果.(2) 计数器实际上是一个异步复位的累加器,通常情况下进行时钟累加计数,首先对秒进行计数,当到达59时,产生进位信号并且秒表归零,这时候才对分钟进行计数,如果分钟没到20,那么正常计数,到达了20,那么就响铃,停止计数。(3)显示器根据需要显示当前时间以及此时所处在的半场状态,若处于上半场,那么其中半场状态数码管显示为1,如果处于下半场,数码管显示为2(4) 暂停,清零以及半场继续计时控制开关能够分别停止计时器的计数功能,使得计时器全部清零重置半场状态变量,和使得计数器清零并且改变半场状态变量(5)实现篮球计时器的功能。五、实验代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_

文档评论(0)

almm118 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档