嵌入式电子菜单_设计.doc

  1. 1、本文档共13页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
嵌入式电子菜单_设计

西南石油大学本科毕业设计(论文) PAGE PAGE 2 目录 TOC \o 1-2 \u 1绪论 PAGEREF _Toc \h 2 1.1任务的提出 PAGEREF _Toc \h 3 1.2设计的目的和意义 PAGEREF _Toc \h 3 2相关知识简介 PAGEREF _Toc \h 3 2.1开发工具 PAGEREF _Toc \h 3 2.2开发语言 PAGEREF _Toc \h 3 2.3开发环境 PAGEREF _Toc \h 3 3总体设计 PAGEREF _Toc \h 4 3.1设计的总体结构描述 PAGEREF _Toc \h 4 3.2功能模块描述 PAGEREF _Toc \h 4 3.3功能模块的状态转换 PAGEREF _Toc \h 5 4详细设计 PAGEREF _Toc \h 5 4.1键盘模块设计 PAGEREF _Toc \h 5 4.2显示模块设计 PAGEREF _Toc \h 6 4.3控制模块 PAGEREF _Toc \h 8 5系统测试 PAGEREF _Toc \h 11 5.1键盘扫描模块 PAGEREF _Toc \h 11 5.2键盘译码 PAGEREF _Toc \h 11 5.3多位加法器 PAGEREF _Toc \h 11 5.4七段数码管 PAGEREF _Toc \h 12 5.5 RAM存储器 PAGEREF _Toc \h 12 5.6系统控制器 PAGEREF _Toc \h 12 6结论 PAGEREF _Toc \h 12 7致 谢 PAGEREF _Toc \h 13 电子菜单的设计 1绪论 嵌入式系统是以应用为中心,软硬件可裁剪,适应应用系统对功能、可靠性、成本、体积、功耗等综合性严格要求的专用计算机系统。嵌入式系统主要由嵌入式处理器、相关支撑硬件、嵌入式操作系统及应用软件等组成,它是集软硬件于一体的可独立工作的“器件”。 1.1任务的提出 本课题的任务即是设计一种简单的电子点菜单终端,以CYC-L2 V2.0便携式EDA/SOPC/DSP实验系统为硬件平台,利用VHDL语言进行编程,要求实现的电子点菜单功能齐全,使用方便。 1.2设计的目的和意义 餐馆引进电子点菜系统,具有重大的意义:首先,提高运营效率与降低运营成本,使用电子点菜系统可以加快点菜速度,减少人力资源的投入,避免跑、冒、漏;其次,提升餐馆品牌与形象,电子点菜系统是一个高科技产品,它代替传统的纸笔式点菜方式给人一种高贵的感觉;最后,营造一个安静、舒服的就餐环境,传统的点菜方式在某些环节中出现大声喧哇的场面,电子点菜系统则可以避免这类情况的出现。 2相关知识简介 2.1开发工具 本实验系统是在CYC-L2 V2.0便携式EDA/SOPC/DSP实验系统基础上研发而成,具有完备的外部接口电路模块,并继承了该系统开放性的特点。系统由开发板(含主板、底板)、ByteBlaster II并口下载板(含电缆)和12V直流电源三个组成部件构成。采用Altera公司的Cyclone EP1C6Q240系列FPGA为主芯片,包括系统时钟、SRAM存储器、FLASH存储器、网络接口芯片、串行配置芯片、音频编码/解码芯片、模数转换器、数模转换器、键盘、显示器和串行接口等外围电路模块。 2.2开发语言 VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。 2.3开发环境 Quartus II 是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。 3总体设计 3.1设计的总体结构描述 本系统为一个电子点菜终端的简洁型系统,该系统以CYC-L2系统为主要核心,采用4*4的键盘,前12个键为菜单,8个数码管显示总价格,单价,已点菜数量;后四个键分别实现:结账,已点查询,删除,确认。4组LED灯分别表示菜的口味:酸,甜,麻,辣,以点亮的灯数表示口味浓度。 3.2功能模块描述 本系统主要由3个模块构

您可能关注的文档

文档评论(0)

manyu + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档