由加法器可组成乘法器4位元乘法器若4位元加法器模组为Module.PDFVIP

由加法器可组成乘法器4位元乘法器若4位元加法器模组为Module.PDF

  1. 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
  2. 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  3. 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  4. 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  5. 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  6. 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  7. 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
由加法器可组成乘法器4位元乘法器若4位元加法器模组为Module.PDF

由加法器可組成乘法器 4位元乘法器 若 4位元加法器模組為 Module add4(a[3:0],b[3:0],sum[3:0],cout) 4位元乘法器 add4.v: module add4(A[3:0],B[3:0],sum[3:0],Cout); input [3:0]A,B; output [3:0]sum; output Cout; wire [3:0] G,P,C; assign G=AB; assign P=A^B; //assign C[0] = Cin; assign C[0] = 0; assign C[1] = G[0] | (P[0]C[0]); assign C[2] = G[1] | (P[1]G[0]) | (P[1]P[0]C[0]); assign C[3] = G[2] | (P[2]G[1]) | (P[2]P[1]G[0]) | (P[2]P[1]P[0]C[0]); assign Cout = G[3] | (P[3]G[2]) | (P[3]P[2]G[1]) | (P[3]P[2]P[1]G[0]) |(P[3]P[2]P[1]P[0]C[0]); assign #1 sum=P^C; endmodule mult4.v: `include add4.v module mult4(a[3:0],b[3:0],axb[7:0]); input [3:0] a,b; output [7:0]axb; wire [3:0] pp0,pp1,pp2,pp3; wire [3:0] sum0,sum1,sum2; wire C0,C1,C2; assign #1 pp0=b[0]?a:4h0; assign #1 pp1=b[1]?a:4h0; assign #1 pp2=b[2]?a:4h0; assign #1 pp3=b[3]?a:4h0; add4 u1({1b0,pp0[3:1]},pp1,sum0,C0); add4 u2({C0,sum0[3:1]},pp2,sum1,C1); add4 u3({C1,sum1[3:1]},pp3,sum2,C2); assign #1 axb={C2,sum2[3:0],sum1[0],sum0[0],pp0[0]}; endmodule test.v: `timescale 1ns/100ps `include mult4.v module test; reg [3:0]A,B; wire [7:0]axb; mult4 u1(A,B,axb); always @(A or B or axb) $display(%d ms: A=%d, B=%d, axb=%d,$time,A,B,axb); integer i,j; initial begin for(i=0;i16;i=i+1) for(j=0;j16;j=j+1) begin #0 A=i; #0 B=j; #10 ; end end initial begin $dumpfile(mult4.vcd); $dumpvars(); end endmodule 4位元除法器回復式( ) restoring divider partialR.v module partialR(PRin,b4,q,PRout); input [7:0] PRin; input [7:0] b4; output q; output [7:0] PRout; wire [7:0] PRin1; wire [8:0]res; assign PRin1=PRin1; assign #1 res=PRin1-b4; assign #1 q=res[8]?1b0:1b1;//最高位元為正負號可以判斷=0或 0 //結果=0,最高位元=0 //結果0,最高位元=1 assign #1 PRout=res[8]?PRin1:res[7:0]; endmodule div4.v: `include partialR.v module div4(a,b,q,r); input [3:0] a,b; output [3:0] q,r; wire [7:0] b4; wire [7:0] PR1,PR2,PR3,PR4; assign b4={b[3:0],4h0}; partialR u1({4h0,a},b4,q[3],PR1)

文档评论(0)

zcbsj + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档