数字系统综合设计+eda+模拟中央人民广播电台报时电路.docVIP

数字系统综合设计+eda+模拟中央人民广播电台报时电路.doc

  1. 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
  2. 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  3. 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  4. 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  5. 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  6. 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  7. 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
第 PAGE 8 页 共 NUMPAGES 8 页 数字系统综合设计 实验报告 实验题目: 模拟中央人民广播电台报时电路 姓名: 张晓奇 马良 学号: 设计内容: 1、实验要求: 1.1 计时器运行到59分49秒开始报时,每鸣叫1s就停叫1s,共鸣叫6响;前5响为低音,频率为750HZ,最后1响为高音,频率为1KHz; 1.2要有分秒显示 2.1总电路图: CLK是时钟脉冲,通过次端口输入时钟信号,CLR是清零端口,置于高电平时起清零作用,CI是保持端口,置于低电平时起保持作用;ENM是校分端口,置于低电平是由时钟脉冲进行校分功能,ENH是校时端口,同ENM;speaker是报时端,在50、52、54、56、58秒/分时输出高电平。qsl【3..0】、qsh【3..0】,qml【3..0】、qmh【3..0】,qhl【3..0】、qhh【3..0】分别是秒的低位、高位,分的低位、高位,时的低位、高位数码管显示端。gaopin、dipin端分别是报时信号频率输入端。 2.2模块设计: 60进制秒模块VHDL语言: library ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; ENTITY sec60 IS PORT ( ci :IN std_logic; mclear :IN std_logic; clk :IN std_logic; co :out std_logic; qh :buffer std_logic_vector(3 downto 0); ql :buffer std_logic_vector(3 downto 0); a :out std_logic; b :out std_logic ); END sec60; ARCHITECTURE behave OF sec60 IS BEGIN co=1when (qh=0101 and ql=1001 and ci=1) else 0; a=1 when (qh=0101 and ql=0000) else 1 when (qh=0101 and ql=0010) else 1 when (qh=0101 and ql=0100) else 1 when (qh=0101 and ql=0110) else 1 when (qh=0101 and ql=1000) else 0; b=1 when (qh=0000 and ql=0000) else 0; PROCESS (clk,mclear) BEGIN IF(mclear=1)THEN qh=0000; ql=0000; elsif(clkevent and clk=1)then if(ci=1)then if(ql=9) then ql=0000; if(qh=5)then qh=0000; else qh=qh+1; end if; else ql=ql+1; end if; end if ; end if; end process; end behave; 60进制分模块VHDL语言: library ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; ENTITY min60 IS PORT ( ci :IN std_logic; mclear :IN std_logic; clk :IN std_logic; co :out std_logic; qh :buffer std_logic_vector(3 downto 0);

文档评论(0)

153****9595 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档