多进制数字振幅调制MASK系统课件.pptVIP

  1. 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
  2. 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  3. 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  4. 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  5. 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  6. 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  7. 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
多进制数字振幅调制(MASK)系统 多进制数字振幅调制(MASK) 多进制数字振幅调制又称多电平振幅调制,它用高频载波的多种振幅去代表数字信息。 左图为四电平振幅调制,高频载波有u0(t)、u1(t)、u2(t)、u3(t)四种。 振幅为0、1A、2A、3A,分别代表数字信息0、1、2、3或者双比特二进制输入信息 00、01、10、11 进行振幅调制。 已调波一般可表示为 g(t)是高度为1、宽度为TS的矩形脉冲,且有 为易于理解,将波形上图 所示。显然图(c)中各波形的叠加便构成了图(b)的波形。 由上图可见,M进制ASK信号是M个二进制ASK信号的叠加。 那么,MASK信号的功率谱便是 M个二进制ASK信号功率谱之和。 因此,叠加后的MASK信号的功率谱将与每一个二进制ASK信号的功率谱具有相同的带宽。 所以其带宽 MASK信号的产生 MASK信号与二进制ASK信号产生的方法相同,可利用乘法器实现。 解调也与二进制ASK信号相同,可采用相干解调和非相干解调两种方式。 M进制振幅调制方框图 实现多电平调制的方框原理如上图所示,它与二进制振幅调制的方框原理非常相似。不同之处是在发信输入端增加了2-M电平变换,相应在接收端应有M-2电平变换。 另外该电路的取样判决器有多个判决电平,因此多电平调制的取样判决电路比较复杂。实际系统中,取样判决电路可与M-2电平变换合成一个部件,它的原理类似于A/ D变换器。多电平解调与二进制解调相似,可采用包络解调或同步解调。 多进制数字振幅调制与二进制振幅调制相比有如下特点:(1)在码元速率相同的条件下,信息速率是二进制的log2M倍。 (2)当码元速率相同时,多进制振幅调制带宽与二进制相同。 (3)多进制振幅调制的误码率通常远大于二进制误码率。当功率受限时,M越大,误码增加越严重。 (4)多进制振幅调制不能充分利用发信机功率。 MASK调制电路VHDL程序与仿真 MASK调制方框图 clk start 基带信号 分频器 串/并 译码 ASK调制 D/A 调制信号 FPGA MASK调制电路符号 MASK调制VHDL程序与仿真 --文件名:MASK --功能:基于VHDL硬件描述语言,对基带信号进行MASK调制 --说明:这里MASK中的M为4 --最后修改日期:2004.2.13 library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity MASK is port(clk :in std_logic; --系统时钟 start :in std_logic; --开始调制信号 x :in std_logic; --基带信号 y :out std_logic_vector(7 downto 0)); --8位DAC数据 end MASK; architecture behav of MASK is

文档评论(0)

181****8523 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档