数字逻辑新编.ppt

  1. 1、本文档共50页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
本节教学内容及重点 教学内容 数据对象、数据类型、运算符和表达式 常用顺序语句、并行语句 VHDL常用的库、程序包 教学重点 数据对象、数据类型、运算符和表达式 常用顺序语句、并行语句 3.3 数据对象、数据类型、 运算符和表达式 VHDL标识符 基本标识符由字母、数字和下划线组成 第一个字符必须是字母 最后一个字符不能是下划线 不允许连续2个下划线 保留字(关键字)不能用于标识符 大小写等效 VHDL标识符 有效的标识符 A_h_1 show_new_state COUNTER_A decode3_8 counter 非法的标识符 A%h_1 show-new-state COUNTER_ T_ _1 3_8decoder IS DOWNTO 3.3.1 数据对象 VHDL语言数据对象 常量(CONSTANT) 变量(VARIABLE) 信号(SIGNAL) 3.3.1 数据对象 常量(Constant) 固定值,不能在程序中被改变 增强程序的可读性,便于修改程序 在综合后,连接到电源和地 可在Library、Entity、Architecture、Process中进行定义,其有效范围也相应限定 常数的描述格式: CONSTANT 常量名:数据类型:=表达式 如:Constant data_bus_width: integer := 8; 3.3.1 数据对象 变量(Variable) 临时数据,没有物理意义 只能在Process和Function中定义,并只在其内部有效 要使其全局有效,先转换为Signal 一旦赋值,立即生效 变量的描述格式: VARIABLE 变量名:数据类型 :=表达式 如:variable result : std_logic := ‘0’; 3.3.1 数据对象 信号(Signals) 代表连线,Port也是一种信号 没有方向性,可给它赋值,也可当作输入 在Entity中和Architecture中定义 设定的初始值只是在仿真开始设定了一个起始值,在综合时没有用。 信号的描述格式: SIGNAL 信号名:数据类型 [:=初始值] signal count:bit_vector(3 downto 0):=“0011”; 信号赋值语句格式 目标信号名=表达式; q=count; irq=0; aa=dx1; bb=dx2; s1=s2 AFTER 10ns 信号与变量的区别 信号赋值可以有延迟时间,变量赋值无时间延迟 信号除当前值外还有许多相关值,如历史信息等,变量只有当前值 进程对信号敏感,对变量不敏感 信号可以是多个进程的全局信号,但变量只在定义它之后的顺序域可见 信号可以看作硬件的一根连线,但变量无此对应关系 赋值的形式不同;声明的位置不同 信号与变量的区别 architecture rtl of start is signal count : integer range 0 to 7; begin process(clk) begin if (clkevent and clk=1) then count = count + 1; if(count=0) then carryout = 1; else carryout = 0; end if; end if; end process; end rtl; architecture rtl of start is begin process(clk) variable count : integer range 0 to 7; begin if (clkevent and clk=1) then count := count + 1; if(count=0) then carryout = 1; else carryout = 0; end if; end if; end process; end rtl; 3.3.2 数据类型 VHDL标准数据类型 IEEE标准数据类型 用户自定义数据类型

文档评论(0)

seunk + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档