可编程逻辑器件设计与开发.ppt

  1. 1、本文档共52页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
单元二可编程逻辑器件的设計与开发 单元二可编程逻辑器件的设计与开发 可编程逻辑器件的设计流程 可编程逻辑器件的开发环境 设计实例 单元二可编程逻辑器件的设計与开发 可编程逻辑器件的设计流程 设计输入 设计实现 修改设计 设计校验 编程下载 系统测试 单元二可编程逻辑器件的设计与开发 (一)设计的输入 设计输入就是将设计者所设计的电路以开发软件所要 求的某种形式表示出来,并输入到相应的软件中。设计输 入有多种表达方式,主要包括原理图输入、硬件描述语言 输入、网表输入和波形输入四种。其中最常用的是原理图 输入和硬件描述语言输入 1.原理图输 原理图是图形化的表达方式,它是利用软件中所提供 的元件符号和连线来描述设计。其特点是比较直观,便于 进行接口设计和管脚锁定,容易实现仿真,便于信号的观 察和电路的调整,系统运行速率较高,但当描述复杂电路 时则比较繁琐。为提高这种输入方式的效率,应采用自顶 向下的逻辑分块设计方法。一般而言,若对系统很了解 并且要求系统的工作速率较高,或在大系统中对时间特性 要求较高的部分可采用这种输入方法 西单元二可编程逻辑器件的设計与开发 2.硬件描述语言输入 硬件描述语言输入是采用文本方式描述设计,这种方 式的描述范围较宽,从简单的门电路到复杂的数字系统均 可描述。特别是在描述复杂设计时,非常简洁。但这种描 述方式不适合描述接口和连接关系,并且该输入方式必须 依赖综合器,只有妤的综合器才能把语言综合成优化的电 路。对于大量规范的、易于语言描述、易于综合、速率较 低的电路,可采用这种输入方式。常用的硬件描述语言有 Verilog-HDL、VHDL。 单元三可编程逻辑器件的设计与开发 (二)设计的实现 设计实现主要是由EDA开发工具依据设计输入文件自 动生成用于器件编程、波形仿真及延时分析等所需的数据 文件。此部分对开发系统来讲是核心部分,但对于用户来 说并不用关心它的实现过程,当然设计者也可通过设置 “设计实现策略”等参数来控制设计实现过程 1.优化和合并。优化是指进行逻辑化简,把逻辑描 述转变为最适合在器件中实现的形式;合并是将模块化设 计产生的多个文件合并成一个网表文件,并使层次设计平 面化 2.映射。映射是根据所选择的PLD器件型号,把设计 分割为多个适合器件内部逻辑资源实现的逻辑小块形式。

文档评论(0)

151****1926 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档