现代电子技术.ppt

  1. 1、本文档共38页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
一、运算放大器的使用 单电源供电 1、为什么要单极性输出 摸数转换器输入电压是单极性的 比较器进行波形变换时输入单极性信号 单电源供电系统 一、运算放大器的使用 单电源供电 2、可单极性工作的运放 LM324 ;LM358 ;AD822 ;OP295 一、运算放大器的使用 单电源供电 3、0V输出 多数运放不能输出0V值 LM358 ; LM324 特殊运放可以0V输出 OP295 一、运算放大器的使用 单电源供电 OP491GS 无0V输出 一、运算放大器的使用 单电源供电 4、满幅输出 普通运放不能满幅输出 LM324,LM358,AD711 特殊运放能够满幅输出 AD822,POA350 LM358不能满幅 一、运算放大器的使用 5、相位反转现象 输入电压超出范围时输出电压发生剧变 LM324,LM358,AD711 某些运放无相位反转现象 AD822,OP193,OP191 AD711有相位反转现象 AD822无相位反转现象 6、单电源电路设计 单电源电路仿真波形 单极性放大电路 二、门电路传输特性 SN7404 二、门电路传输特性 74LS04 二、门电路传输特性 74HC04 二、门电路传输特性 74HCT04 二、门电路传输特性 74HCT带1KΩ负载 二、门电路传输特性 74LS04带1KΩ负载 三、数字电路设计 三、数字电路设计 1.三人表决器 三、数字电路设计 2.二进制计数器 三、数字电路设计 2.二进制计数器 三、数字电路设计 3.VHDL语言 由三部分组成: 1、库的引用 library ieee; use ieee.std_logic_1164.all; 2、实体 entity select21 is port( a,b,s: in std_logic; y: out std_logic ); end entity select21; 三、数字电路设计 3、结构体 architecture one of select21 is begin y=a when s=‘0’ else b; end one; 三、数字电路设计 4.触发器的VHDL描述 architecture bhv of dff is begin process( clk) if rising_edge(clk) then Q= D; end if; end process; end architecture bhv; 三、数字电路设计 5.四位二进制计数器 architecture bhv of count4 is signal m: std_logic_vector(3 downto 0); begin process(clk) begin if rising_edge(clk) then m=m+1; end if; Q= m; end process; end bhv; 四、电路设计举例 1.三角波发生器 四、电路设计举例 三角波发生器波形 四、电路设计举例 2.抢答器 四、电路设计举例 四、电路设计举例 * 一、运算放大器的使用 单电源供电 OP491GS 电压扫描波形 一、运算放大器的使用 单电源供电 LM358 电压扫描波形 AD822满幅输出 楼道照明灯电路 1.三人表决器 *

您可能关注的文档

文档评论(0)

asd522513656 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档