实验三8线3线优先编码器.pdf

  1. 1、本文档共3页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
物电学院 《可编程逻辑设计》实验报告单 姓名 学号 实验日期 成绩 XXX XXXXXXX 年 月 日 实验三 基本组合逻辑电路的PLD 实现(2 ) ⚫ 实验名称:利用原理图输入法与VerilogHDL 输入法设计一个8 线-3 线优先编码器 ⚫ 实验目的: 1. 熟悉用可编程器件实现基本组合逻辑电路的方法。 2. 进一步熟悉MAX+plus II 软件的使用方法,熟悉原理图输入法和VerilogHDL 输入 法,进一步熟悉如何编译,器件选择,管脚分配和仿真。 ⚫ 预习要求: 1. 回顾数字电路中关于优先编码器的相关知识。 ⚫ 实验说明: 1. 用MAX+plus II 软件开发PLD 器件有两种设计输入方式:原理图输入和HDL 语言 输入方式,或者将两者结合起来,一部分电路采用原理图,另一部分采用HDL 语 言。 2. 优先编码器的功能是允许同时在几个输入端有输入信号,编码器按照输入信号的优 先等级对同时输入的多路信号中优先级最高的一路进行编码。 3. 8 线-3 线优先编码器的真值表如下图所示: ⚫ 实验内容与步骤: 1. 新建一个属于自己的工程目录。 2. 新建一张电路图文档,调用8 线-3 线优先编码器芯片74148 (注意其均是低电平有 效),完成设计。 3. 对电路图进行编译,仿真。 4. 用VerilogHDL 语言方式编写一个8 线-3 线优先编码器。 5. 完成编译,管脚分配,并对模块进行仿真。 ⚫ 实验报告要求: 1. 将自己绘制的电路图或者编写的VerilogHDL 代码,截图或者复制到实验报告中。 2. 将代码关键位置写上相应注释(可用中文)。 3. 对仿真波形截图,贴到实验报告中。 1 物电学院 《可编程逻辑设计》实验报告单 ⚫ 实验图表与数据: 1. 8 线-3 线优先编码器电路图: 2. 8 线-3 线优先编码器电路仿真波形: 3 .8 线-3 线优先编码器Verilog 代码: 2 物电学院 《可编程逻辑设计》实验报告单 4. 8 线-3 线优先编码器Verilog 代码仿真波形: 3

文档评论(0)

tiger03 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档