D触发器实现的2分频与2倍频.docxVIP

  1. 1、本文档共2页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
,. 触发器实现二分频与二倍频 先来二分频的 其基本思想是将 D 触发器改成 T 触发器,每隔一个时钟周期,输出时钟反向一次。这样就达到了二分频的目的。 下面列出 Verilog 代码: module div2(clk,rst_n,clk_out); input clk,rst_n; output clk_out; wire clk_temp; always @(posedge clk) begin if(~rst_n) begin clk_out=0; end else clk_out=clk_temp; end assign clk_temp=~clk_out; endmodule 仿真图如下: 下面是二倍频的 ;.. ,. 基本思想:通过逻辑延时,使同频时钟相位改变,而后将两个时钟相或即可得到二倍频电路,不过占空比 不可调,由两个时钟相位差决定。 Verilog 代码如下: module twice (clk, clk_out ); input clk; output clk_out; wire clk_temp; wire d_outn; reg d_out=0; assign clk_temp = clk ^ d_out ; assign clk_out = clk_temp ; assign d_outn = ~d_out ; always@( posedge clk_temp) begin d_out = d_outn ; end endmodule 由于它是靠延时产生的时钟,所以只能进行时序仿真才能看到波形,仿真波形如下: 大家可以自己在 quartus 下仿真 ;..

文档评论(0)

pengyou2017 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档