ea实验报告模版.doc

  1. 1、本文档共4页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
实验报告格式要求 1.封面:要写上课程名称、实验名称、班级、学号、姓名和实验日期和指导教师等内容 2.报告内容 1)实验设计要求:写清楚实验内容、步骤和要求 2)设计原理:画出设计电路原理图或电路模块图,结合文字说明电路外接端口数目、名称及端口方向,分析电路内部数字逻辑原理结构或真值表。如果有状态机设计,画出状态迁移图。 3)实验程序:列出实验中所设计的VHDL程序代码,每个VHDL程序都应该有一两行文字简单说明其对应电路的基本功能。在每个程序之后,还要附上该程序的仿真波形结果。 4)编译结果:给出对于顶层设计实体在QUARTUS II综合编译之后的结果(选用器件型号、适配后所用LC及存储单元数目、电路最大延时(组合电路)或时钟最高频率(时序电路)。若实验带有硬件下载,先写出实验电路引脚锁定信息,然后文字描述硬件实验结果。 5)实验总结:如果实验中遇到编译、仿真错误,将其记录下来并分析出错原因和解决方法。总结一下此次实验的心得体会。 发送邮件到 ZYU20@HDU.EDU.CN 邮件主题:EDA报告 附件:学号_姓名_1.doc (共6次实验) 每次上理论课之前提交实验报告,过期不收 《EDA技术与VHDL》实验报告 实验名称: 8位二进制全加器设计 姓名: 班级: 学号: 实验日期: 指导教师:曾 毓 一、实验设计要求 实验内容要求 二、设计原理 电路结构图或原理图 电路功能描述 三、实验程序 程序1功能描述 程序1代码 程序2功能描述 程序2代码 … 四、编译及仿真结果 选用器件型号、编译后使用器件资源情况、引脚配置情况(硬件实验) 时序分析结果(最大延时路径、最大时钟频率等等) 程序仿真波形图(结合文字分析仿真结果) 五、总结 列出实验过程中的编译、仿真过程遇到的错误、警告,记录你的解决方法 注:截图不要太大,太大的图形缩小后就可能看不清楚

文档评论(0)

asd3366 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档