自动售货机的VHDL实现.docxVIP

  1. 1、本文档共14页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
自动售货机的VHDL实现 自动售货机的VHDL实现 PAGE 14 - 14 - 自动售货机的VHDL实现 自动售货机的VHDL实现 2011-9-6 目录 1.封面…………………………………………………………………1 2.目录…………………………………………………………………2 3.导言…………………………………………………………………3 4.正文…………………………………………………………………3 (1)总体方案设计……………………………………………3 (2)单元模块设计……………………………………………4 ①系统变量及参数说明…………………………………4 ②各状态功能介绍及设计………………………………5 ③各单元模块的联接……………………………………7 (3)系统功能调试………………………………………………7 (4)设计总结……………………………………………………9 ①设计的小结……………………………………………9 ②设计收获体会…………………………………………9 ③对设计的进一步完善提出意见………………………9 5.结论…………………………………………………………………10 6.感谢…………………………………………………………………10 7.附录…………………………………………………………………10 8.参考文献……………………………………………………………14 3、导言 该系统用于模仿自动售货机,完成自动售货机的核心控制功能。 设计 FPGA模块模拟自动售货机的工作过程,要求如下: (1)售货机有两个进币孔,可以输入硬币和纸币,售货机有两个进币孔,一个是输入硬币,一个是输入纸币,硬币的识别范围是 1 元的硬币,纸币的识别范围是 5 元,10 元,20,50 元,100元。乘客可以连续多次投入钱币。 (2)顾客可以选择的商品种类有 16 种,价格分别为 1-16 元,顾客可以通过输入商品的编号来实现商品的选择。即有一个小键盘(0-9 按键)来完成,比如输入 15 时要先输入 1,再输入 5。 (3)顾客选择完商品后,可以选择需要的数量。然后可以继续选择商品及其数量,每次可以选择最多三个商品。 然后显示出所需金额。 顾客此时可以投币, 并且显示已经投币的总币值。当投币值达到或超过所需币值后, 售货机出货, 并扣除所需金额, 并找出多余金额。在投币期间,顾客可以按取消键取消本次操作,钱币自动退出。? 4、正文 (1)总体方案设计 本系统采用状态机的架构,将系统分为6个状态:开始、选种类、选数量、付款、退款/找零准备、结束。 各状态的转换关系如图: (2)单元模块设计 ①系统变量及参数说明 变量/信号名 变量/信号 输入/输出 意义 类型 clk 信号 输入 时钟 Std_logic import 信号 输入 选种类/数量 Integer(0-9) ok 信号 输入 确认 Std_logic cancel 信号 输入 取消 Std_logic continue 信号 输入 继续 Std_logic coin001 信号 输入 1元硬币 Integer(0-1) paper005 信号 输入 5元纸币 Integer(0-1) paper010 信号 输入 10元纸币 Integer(0-1) paper020 信号 输入 20元纸币 Integer(0-1) paper050 信号 输入 50元纸币 Integer(0-1) paper100 信号 输入 100元纸币 Integer(0-1) required 信号 输出 应付金额 Integer(0-1000) sum 信号 输出 已付金额 Integer(0-1000) change001 信号 输出 找零1元硬币 Integer(0-10) change005 信号 输出 找零5元纸币 Integer(0-10) change010 信号 输出 找零10元纸币 Integer(0-10) change020 信号 输出 找零20元纸币 Integer(0-10) change050 信号 输出 找零50元纸币 Integer(0-10) change100 信号 输出 找零100元纸币 Integer(0-10) state 状态 起始状态、选货物种类、选货物数量、付款、退款找零准备、结束 temp_num 变量 商品数量 Integer(0-99) temp_type 变量 商品种类 Integer(0-16) temp 变量 临时变量 Integer(0-1000) flag 变量 退款找零是否完成 std_logic continue_time 变量 继续次数 Integer(0-

文档评论(0)

花花 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档