数电流水灯实验报告.pdfVIP

  1. 1、本文档共10页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

竭诚为您提供优质文档/双击可除

数电流水灯实验报告

篇一:东南大学数字电路实验报告(五)

东南大学电工电子实验中心

实验报告

课程名称:数字逻辑电路实验

第五次实验

实验名称:时序逻辑电路设计

院(系):电气工程专业:电气工程及自动化姓名:学

号:

实验室:104实验时间:20XX年12月13日评定成绩:

审阅教师:

一、实验目的

1.2.3.4.

掌握时序逻辑电路的一般设计过程;

掌握时序逻辑电路的时延分析方法,了解时序电路对时

110

钟信号相关参数的基本要求;掌握时序逻辑电路的基本调试

方法;

熟练使用示波器和逻辑分析仪观察波形图,并会使用逻

辑分析仪做状态分析。

二、实验原理

1.时序逻辑电路的特点(与组合电路的区别):

——具有记忆功能,任一时刻的输出信号不仅取决于当

时的输出信号,而且还取决于电路原来的值,或者说还与以

前的输入有关。

2.时序逻辑电路的基本单元——触发器(本实验中只用

到D触发器)

触发器实现状态机(流水灯中用到)3.时序电路中的时

1)同步和异步(一般都是同步,但实现一些任意模的计

数器时要异步控制时钟端)2)时钟产生电路(电容的充放电):

在内容3中的32768hz的方波信号需要自己通过

电路产生,就是用到此原理。

4.常用时序功能块

1)计数器(74161)

a)任意进制的同步计数器:异步清零;同步置零;同步

置数;级联b)序列发生器

——通过与组合逻辑电路配合实现(计数器不必考虑自

210

启动)

2)移位寄存器(74194)

a)计数器(一定注意能否自启动)

b)序列发生器(还是要注意分析能否自启动)

三、实验内容

1.广告流水灯

a.实验要求

用触发器、组合函数器件和门电路设计一个广告流水灯,

该流水等由8个LeD组成,工作时始终为1暗7亮,且这一

个暗灯循环右移。

①写出设计过程,画出设计的逻辑电路图,按图搭接电

路。②将单脉冲加到系统时钟端,静态验证实验电路。③将

TTL连续脉冲信号加到系统时钟端,用示波器和逻辑分析仪

观察并记录时钟脉冲

cLK、触发器的输出端Q2、Q1、Q0和8个LeD上的波形。

b.实验数据①设计电路。1)问题分析

流水灯的1暗7亮对应8个状态,故可采用3个触发器

实现;而且题目要求输出8个信号控制8个灯的亮暗,故可

以把3个触发器的输出加到3-8译码器的控制端,对应的8

个译码器输出端信号控制8个灯的亮暗。2)状态转化图如

下:

卡诺图如下:

310

电路图如下:

经实验论证,可实现功能。逻辑分析如下:

2.序列发生器实验要求

用触发器设计一个具有自启动功能的01011序列发生器。

1)

用multisim进行化简处理,得:An+1=bn;bn+1=cn;

cn+1=DnDn+1=An+Dn=(An+Dn)

电路设计如下:

波形图如下:

3.智力竞赛抢答器设计图如下:

0101101011

经实验论证,可实现所有功能。逻辑图如下表:

篇二:哈工大数电自主实验数字流水灯

harbinInstituteofTechnology

数字电路自主设计实验

院系:班级:

姓名:学号:指导教师:

哈尔滨工业大学

文档评论(0)

mi manchi + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档