chapter数字ic后端流程实用.pptx

  1. 1、本文档共49页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多

基于ICC的数字IC后端设计流程;基于ICC的数字IC后端设计流程;DataSetup;DataSetup;DataSetup;DataSetup;DataSetup

PhysicalReferenceLibraries;DataSetup;DataSetup;DataSetup;1.SpecifytheLogicalLibraries;2.Define‘logic0’and‘logic1’;3.Createa“Container”:The

DesignLibrary;4.SpecifyTLU+ParasiticRCModelFiles;TimingisBasedonCellandNetDelays;5.CreateDesignCEL;6.VerifyLogicalLibrariesAreLoaded;7.DefineLogicalPower/GroundConnections;8.ApplyandCheckTimingConstraints;9.RemoveUnwanted“IdealNet/Networks”;10.SavetheDesign;数字IC后端流程

DataSetup

Designplanning

?

Placement

CTS

Route

DFMChipFinishing

?;DesignPlanning;ICCTerminology

Designplanningistheiterativeprocessofcreatingafloorplan。

Achip-levelfloorplanentailsdefining:

Coresize,shapeandplacementrows

Periphery:IO,power,cornerandfillerpadcelllocationsMacrocellplacement

Powergrid(rings,straps,rails)

Aphysicaldesign,orlayout,istheresultofasynthesizednetlistthathasbeenplacedandrouted;CreatePhysical-onlyPadCells;SpecifyPadCellLocations;InitializetheFloorplan;CoreAreaParameters;FloorplanAfterInitialization;InsertPadFillerCells;ConstrainingMacros:Manually;MacroConstraints:AnchorBoundOption;MacroConstraints:SideChannelOption;电源规划;电源网络设计;Powerplan;WriteOutFloorplanandDEFFiles;数字IC后端流程

DataSetup

Designplanning

?

Placement

CTS

Route

DFMChipFinishing

?;Placement;Placement;数字IC后端流程

DataSetup

Designplanning

?

Placement

CTS

Route

?

?;芯片中的时钟网络要驱动电路中所有的时序单元,所以时钟负载延时很大并且不平衡,需要插入缓冲器减小负载和平衡延时。

时钟网络及其上的缓冲器构成了时钟树。

CTS的目的是为了减小时钟偏差(clockskew)

时钟信号定义

SDC

CTS策略

时钟树分析;StartingPointbeforeCTS

Allclockpinsaredrivenbyasingleclocksource.;ClockTreeSynthesis(CTS);CTS;数字IC后端流程

DataSetup

Designplanning

?

Placement

CTS

Route

?;布线是继布局和时钟树综合之后的重要物理实施任务,其内容是将分布在芯片核内的模块、标准单元和输

入输出接口单元(I/Opad)按逻辑关系进行互连,其要求是100%地完成他们之间的所有逻辑信号的互连,并为满足各种???束条件进行优化。;进行消除布线拥塞(congestion)、优化时序、减小耦合效应(coupling)、消除串扰

(crosstalk)、降低功耗、保证信号完整性

(signalintegri

您可能关注的文档

文档评论(0)

159****1944 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档