七人表决器实验报告.pdfVIP

  1. 1、本文档共5页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

竭诚为您提供优质文档/双击可除

七人表决器实验报告

篇一:哈工大电工学新技术实践实验报告-7人表决器

总成绩:

一、设计任务

1、有七人参与表决,显示赞同者个数。

2当赞同者达到及超过4人时,绿灯显示表示通过。

二、设计条件

本设计基于软件multisim10.0.1进行仿真,在电机楼

实验室20XX5进行验证。

三、设计要求

1、熟悉74Ls161,74Ls151,数码管的工作原理。

2、设计相应的电路图,标注元件参数,并进行仿真验

证。

四、设计内容

1.电路原理图(含管脚接线)电路原理图如图1所示

19

图1电路原理图

2.计算与仿真分析

仿真结果如图2、3、4所示

图2仿真结果

图4仿真结果

4.调试流程

调试流程如图5所示

图5调试流程

5.设计和使用说明

74Ls151芯片为互补输出的8选1数据选择器,引脚排

列如图6所示,功能见表1。选择控制端(地址端)为c~A,

按二进制译码,从8个输入数据D0~D7中,选择一个需要

的数据送到输出端Y,g为使能端,低电平有效。

(1)使能端g=1时,不论c~A状态如何,均无输出

(Y=0,w=1),多路开关被禁止。

(2)使能端g=0时,多路开关正常工作,根据地址码

c、b、A的状态选择D0~D7中某一个通道的数据输送到输出

端Y。如:cbA=000,则选择D0数据到输出端,即Y=D0。

如:cbA=001,则选择D1数据到输出端,即Y=D1,其余类

推。

图674Ls151引脚排列

表174Ls151功能表

29

74Ls161功能:

(1)异步置“0”功能:接好电源和地,将清除端接低

电平无论其他各输入端的状态如何,测试计数器的输出端,

如果操作无误Q3~Q0均为0。

(2)预置数功能:将清除端接高电平,预置控制端接

低电平,数据输入端D3~D0置0011,在cp的上升沿作用后,

测试输出端Q3~Q0的电平。如果操作准确,D3~D0的数据为

0011,说明D3~D0的数据已预置到Q3~Q0端。

(3)计数和进位功能:将LD、cr、ceT、cep端均接高

电平,cLK端输入单脉冲,记录输出端状态。如果操作准确,

每输入一个cp

脉冲,计数器就进行一

篇二:课程设计报告七人表决器设计

电子综合设计

题目

学院

专业

班级学生姓名指导教师

七人抢答器设计计信学院电子信息工程

20XX年6月18日

一、设计原理

所谓表决器就是对于一个行为,由多个人投票,如果同

39

意的票数过半,就认为此行为可行;否则如果否决的票数过

半,则认为此行为无效。七人表决器顾名思义就是由七个人

来投票,当同意的票数大于或者等于4时,则认为同意;反

之,当否决的票数大于或者等于4时,则认为不同意。

二、分析讨论

七人表决器这一功能可以用c语言、汇编语言或VhDL

编程后下载到单片机上实现,不过用VhDL编程不仅技术含

量高而且能让我们更熟练的掌握和使用quartus软件的步骤

和方法。所以我们是采用VhDL编程来实现的。

三、设计准备

根据七人表决器的原理,我们的准备过程如

文档评论(0)

152****7015 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档