基于QuartusⅡ的VHDL语言多功能数字钟.pdfVIP

基于QuartusⅡ的VHDL语言多功能数字钟.pdf

  1. 1、本文档共10页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

基于QuartusⅡ的VHDL语言多功能数字钟

注:任何人不得作为商业用途

数字钟的功能

1)以24小时制显示时、分、秒计数;

2)时间清零,时设置,分设置功能;

3)整点报时功能。

实验环境

1.软件环境:QuartusII7.2

2.硬件环境:MAXII-EPM240T100C5

1.分频器

1KHz分频器VHDL:

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entityFPQ1Kis

port(clk:instd_logic;

q1khz:outstd_logic);

end;

architecturebehavofFPQ1Kis

begin

s1:process(clk)

variablecount2:integerrange0to50000;

begin

if(clk=1andclkevent)thencount2:=count2+1;

if(count2=25000)thenq1khz=1;

elsif(count2=50000)thenq1khz=0;

count2:=0;

endif;

endif;

endprocess;

ENDbehav;

1KHz分频器顶层设计原理图

1Hz分频器VHDL:

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entityFPQ1is

port(clk:instd_logic;

q1hz:outstd_logic);

end;

architecturebehavofFPQ1is

begin

s1:process(clk)

variablecount2:integerrange0to1000;

begin

if(clk=1andclkevent)thencount2:=count2+1;

if(count2=500)thenq1hz=1;

elsif(count2=1000)thenq1hz=0;

count2:=0;

endif;

endif;

endprocess;

ENDbehav;

1Hz分频器顶层设计原理图

2.秒模块设计

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entitySecis

port(clk,reset,min_set:instd_logic;--clk为1Hz的秒脉冲输入信号,reset为秒清零(复

位)信号

--min_set为分钟调整

enmin:outstd_logic;--enmin为秒模块进位输出

daout:outstd_logic_vector(6downto0));--2n-1≥60,n=7,27=64,分钟用7位二

进制数表示

--daout(6..4)为十位,daout(3..0)为个位,60循环

计数

endentitySec;

architecturebehaveofSecis

signalcount:std_logic_vector(6downto0);--定义内部计数节点,60循环计数

signalenmin1,enmin2:std_logic;

--enmin

文档评论(0)

155****0853 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档