18.可编程定时计数器8254及其应用课件讲解.ppt

18.可编程定时计数器8254及其应用课件讲解.ppt

  1. 1、本文档共16页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多

定时器/计数器1定时/计数器工作原理◆计数器/定时器是一个具有可编程的计数功能的专用芯片。◆计数器/定时器的结构:◆计数功能实现过程:在预先设置好计数初值后,便开始对外部给的触发脉冲做减“1”计数,当计数值减为“0”时,输出一个“计数到”的信号。D7~D0触发脉冲信号(CLK)门控信号(GATE)计数状态信号(OUT)计数初值寄存器D7~D0减1计数器计数值锁存器“写”“读”计数器和定时器的差别◆触发计数减1功能的信号必须是触发脉冲信号。◆计数器的触发脉冲信号可以是周期恒定的,也可以是周期随意的,仅当计数值减到“0”时,给出一个表示计数结束的输出信号。◆定时器的触发脉冲信号必须是周期恒定的时钟信号,在计数值减到“0”时,输出一个表示计数为“0”的信号;并把计数初值自动重新装入,再继续重复做减“1”计数,从而获得一个恒定的周期性输出信号。计数器/定时器的应用定时/计数器通常应用在:◆在多任务的分时系统中产生定时中断信号,实现程序的切换;◆产生精确的计数/定时信号,实现定时数据采集或实时控制;◆作为一个可编程的波特率发生器。28253的内部结构和管脚Intel8253定时/计数器的功能:◆有3个独立的16位计数器通道,既可做16位计数器,也可做8位计数器使用。◆每个计数器可以选择按二进制数,或十进制数(BCD码数)计数。◆每个计数器最高计数速率可达2.6MHz。◆每个计数器都可以编程设定6种工作方式之一。◆所有输入/输出均与TTL电平兼容。8253的内部结构数据总线缓冲器D7~D0计数器0控制寄存器计数器1计数器2初值寄存器输出锁存器减1计数器CLK0GATE0OUT0CLK1GATE1OUT1CLK2GATE2OUT2读/写控制逻辑RDWRCSA1A08253的管脚及其功能◆D7~D0:8位、双向、三态数据线,直接和系统数据总线相连。读/写16位数据则分两次进行。◆CS:片选信号,低电平有效。◆RD,WR:读信号,写信号,低电平时有效。◆A1,A0:8253端口选择线。00~10分别选择计数器0~2,11选择控制端口。◆CLK0~CLK2:分别是计数器0~2计数脉冲输入端,决定计数的速率。◆GATE0~GATE2:分别是计数器0~2门控输入端,作为对计数允许/禁止的控制。◆OUT0~OUT2:分别是计数器0~2的输出端,反映计数器的计数状态。8253操作的控制逻辑CSRDWRA1A0操作说明00100计数器0→数据总线00101计数器1→数据总线00110计数器2→数据总线00111非法状态01000数据总线→计数器001001数据总线→计数器101010数据总线→计数器201011数据总线→控制寄存器1××××D7~D0呈高阻状态38253的工作方式◆方式0──计数结束产生中断◆方式1──可重复触发的单稳态(脉冲)触发器◆方式2──频率发生器(分频器)◆方式3──方波频率发生器◆方式4──软件触发选通信号发生器◆方式5──硬件(GATE)触发的选通信号发生器8253的控制字 8253控制字可以选择计数器、工作方式、计数的数制(二进制或者十进制——BCD码)以及CPU访问计数器的读/写方法等。 8253控制字格式(8位):D7D6D5D4D3D2D1D0SC1SC0RW1RW0M2M1M0BCD计数器选择00:计数器001:计数器110:计数器211:无意义读/写方法00:锁存计数值01:只读/写低8位10:只读/写高8位11:先低8位后高8位读/写16位工作方式选择000:方式0001:方式1×10:方式2×11:方式3100:方式4101:方式5数制选择0:二进制1:BCD码8253的编程设置原则◆计数器工作必须先设置控制字,后设置计数初值,在门控(GATE)有效时启动减1计数。◆设置计数初值要符合控制字的计数器位数规定。若用低位字节或用高位字节,8位计数初值一

文档评论(0)

方世玉 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:6101050130000123

1亿VIP精品文档

相关文档