UART 通用异步收发器的设计.pdfVIP

  1. 1、本文档共19页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

综合课程设计试验报告

院系:信息科学与工程学院

学号:

姓名:蓝渊明陈新明

教师:张圣清

时间:

1引言

由于微电子学和计算机科学的快速发展,给EDA(电子设计自动化)行业带来了巨大

的改变。特殊是进入20世纪90年头后,电子系统已经从电路板级系统集成发展成为包括

ASIC、FPGA/CPLD和嵌入系统的多种模式。可以说EDA产业已经成为电子信息类产品的支

柱产业。EDA之所以能蓬勃发展的关键因素之一就是采纳了硬件描述语言(HDL)描述电路系

统。就FPGA和CPLD开发而言,比较流行的HDL主要有VerilogHDL、VHDL、ABEL-HDL和AHDL

等,其中VHDL和VerilogHDL因适合标准化的发展方向而最终成为IEEE标准。下面的设

计就是用VHDL来完成实现的。

2.UART设计实例

通常设计数字电路大都采纳自顶向下将系统按功能逐层分割的层次化设计方法,这

比传统自下向上的EDA设计方法有更明显的优势(当时的主要设计文件是电路图)。因为由

自顶向下的设计过程可以看出,从总体行为设计起先到最终逻辑综合,形成网络表为止。

每一步都要进行仿真检查,这样有利于尽早发觉系统设计中存在的问题,从而可以大大缩

短系统硬件的设计周期。

UART(即UniversalAsynchronousReceiverTransmitter通用异步收发器)是一

种应用广泛的短距离串行传输接口。UART允许在串行链路上进行全双工的通信。串行外设

用到的RS232-C异步串行接口,一般采纳专用的集成电路即UART实现。如8250、8251NS16450、

等芯片都是常见的UART器件,这类芯片已经相当困难,有的含有很多协助的模块(如FIFO),

有时我们不须要运用完整的UART的功能和这些协助功能。或者设计上用到了FPGA/CPLD

器件,那么我们就可以将所须要的UART功能集成到FPGA内部。运用VHDL将UART的核心

功能集成,从而使整个设计更加紧凑、稳定且牢靠。本文应用EDA技术,基于FPGA/CPLD

器件设计与实现UART。

2.1.1UART结构

UART主要有由数据总线接口、限制逻辑、波特率发生器、发送部分和接收部分等组成。

本设计主要设计UART中最重要的发送部分和接收部分

图1

2.1.2UART的帧格式

UART的帧格式如图2所示。

图2发送数据过程:空闲状态,线路处于高电位;当收到发送数据指令后,拉低线路一个

数据位的时间T,接着数据按低位到高位依次发送,数据发送完毕后,接着发送奇偶校验

位和停止位(停止位为高电位),一帧资料发送结束。

接收数据过程:空闲状态,线路处于高电位;当检测到线路的下降沿(线路电位由高

电位变为低电位)时说明线路有数据传输,依据约定的波特率从低位到高位接收数据,数

据接收完毕后,接着接收并比较奇偶校验位是否正确,假如正确则通知后续设备打算接收

数据或存入缓存。

由于UART是异步传输,没有传输同步时钟。为了能保证数据传输的正确性,UART采

纳16倍数据波特率的时钟进行采样。每个数据有16个时钟采样,取中间的采样值,以保

证采样不会滑码或误码。一般UART一帧的数据位数为8,这样即使每个数据有一个时钟的

误差,接收端也能正确地采样到数据。

UART的接收数据时序为:当检测到数据的下降沿时,表明线路上有数据进行传输,这时计

数器CNT起先计数,当计数器为24=16+8时,采样的值为第0位数据;当计数器的值为40

时,采样的值为第1位数据,依此类推,进行后面6个数据的采样。假如须要进行奇偶校

验,则当计数器的值为152时,采样的值即为奇偶位;当计数器的值为168时,采样的值

为“1”表示停止位,一帧数据接收完成。

2.2UART的设计与实现

UART分频器

假设数据的波特率为p,则所需时钟的频率为16*p以波特率p为9600为例,系统时钟为

60MHz代码

文档评论(0)

186****6581 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档