北邮数电实验报告信息.pdfVIP

  1. 1、本文档共16页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

数字电路与逻辑设计实验

姓名***

学院信息与通信工程学院

专业信息工程

班级***

学号****

班内序号***

实验一

一、实验名称和实验任务要求

1.实验内容:QuartusII原理图输入法设计与实现。

2.实验目的:

(1)熟悉用QuartusII原理图输入法进行电路设计和仿真。

(2)掌握QuartusII图形模块单元的生成与调用。

(3)熟悉实验板的使用。

3.实验任务要求:

(1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半

加器图像模块。

(2)用实验内容1中生成的半加器模块和逻辑门设计实现一个全加

器,仿真验证其功能,并下载到实验板上测试。要求用拨码开关

设定输入信号,发光二极管显示输出信号。

(3)用3线—8线译码器(74LS138)和逻辑门实现函数

F=(/??)(/??)(/??)+(/??)??(/??)+??(/??)(/??)+,仿真

验证其功能,并下载到实验板测试。要求用拨码开关设定输入信

号,发光二极管显示输出信号。

二、原理图

半加器模块和逻辑门设计实现的全加器:

三、仿真波形图及分析

电路实现了全加器的功能。全加器是实现两个1位二进制数及低位来的进位

相加求得和数及向高位进位的逻辑电路。由其原理可得逻辑表达式:

sum=ain⊕bin⊕cin

cout=(ain⊕bin)cin+ain*bin。

列出真值表:

输入输出

ainbincincoutsum

00000

00101

01001

01110

10001

10110

11010

11111

仿真波形对比真值表,可以看出波形图与理论值完全符合。

四、故障及问题分析

1、问题:按照逻辑表达式连接了全加器电路后,仿真波形很乱。

解决方法:思考后知道了应该把输入信号依次设成2的n次方,这样的仿真

波形清楚容易分析。

2、问题:把代码下载到板子上的过程中,进行到37%的时候停了,等了2分钟

也没继续下载。

解决方法:再次重连USB尝试下载,手紧握着接线口,下载成功了,分析可

能是接线口接触不好。

实验二

一、实验名称和实验任务要求

1.实验内容:用VHDL设计与实现组合逻辑电路。

2.实验目的:

(1)熟悉用VHDL语言设计组合逻辑电路的方法。

(2)熟悉用QuartusII文本输入法进行电路设计。

3.实

文档评论(0)

199****2173 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档