- 1、本文档共32页,可阅读全部内容。
- 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
4X4阵列键盘显示电路设计 考查题目:(1-4选择两题) 1、模拟路灯控制系统的设计; 2、音乐自动播放系统的设计; 3、4*4键盘扫描、显示系统的设计(包含消抖电路); 4、VGA动画图像显示控制电路设计; 5、开发板上FPGA芯片引脚对应关系(必做)。 要求:(1)写出设计思路; (2)写出设计方案(可以多考虑几种方案); (3)写出程序及仿真的波形; (4)讨论设计结果及写出设计后的收获。 交考查卷子的时间:2013年元月10日。 过期不候! 实验与设计 实验与设计 1、每一个按键就是一个开关电路; 2、按下时为0状态; 3、未按下为1状态; 4、A是列,B是行 实验与设计 实验与设计 architecture one of k44 issignal BA:std_logic_vector(7 downto 0);signal E:std_logic_vector(3 downto 0); --行扫描信号signal C:std_logic_vector(1 downto 0);????? --用于计数产生扫描信号begin BA=EA; B=E;process(A)begin?? if rising_edge(clk) then???? ????????? c=c+1;???? case c is????????????? --产生行扫描信号???????? when 0 =E=“0111”;??? --P07口为低电平,P06~P04均为高电平???????? when 1 =E=“1011”;??? --P06口为低电平,P07,P05,P04均为高电平???????? when 2 =E=“1101”;??? --P05口为低电平,P07,P06,P04均为高电平???????? when 3 =E=“1110”;??? --P04口为低电平,P07~P05均为高电平 when others= NULL;????? end case;??? ??? 实验与设计 Verilog HDL语言程序:module K4X4 (CLK,A,B,R); input CLK; input [3:0] A; output [3:0] B; output [3:0] R; reg [1:0] C ; reg [3:0] R,B ; always @ (posedge CLK) begin C=C+1; case(C) 0: B=4B0111; 1: B=4B1011; 2: B=4B1101; 3: B=4B1110; endcase case({B,A} ) 8B0111_1110 : R=4H0; 8B0111_1101 : R=4H1; 8B0111_1011 : R=4H2; 8B0111_0111 : R=4H3; 8B1011_1110 : R=4H4; 8B1011_1101 : R=4H5; 8B1011_1011 : R=4H6; 8B1011_0111 : R=4H7; 8B1101_1110 : R=4H8; 8B1101_1101 : R=4H9; 8B1101_1011 : R=4HA; 8B1101_0111 : R=4HB; 8B1110_1110 : R=4HC; 8B1110_1101 : R=4HD; 8B1110_1011 : R=4HE; 8B1110_0111 : R=4HF; endcase end endmodule 二、显示程序(七段显示译码器): LIBRARY IEEE ; USE IEEE.STD_LOGIC_1164.ALL ; ENTITY DECL7S IS PORT ( A : IN STD_LOGIC_VECTOR(3 DOWNTO 0); LED7S : OUT STD_LOGIC_VECTOR(6 DOWNTO 0) ) ; END ; ARCHITECTURE one OF DECL7S IS BEGIN PROCESS( A ) BEGIN CASE A IS WHEN 0000 = LED7S = 0111111 ; WHEN 0001
您可能关注的文档
- 《Java语言程序设计实验指导》电子教案-第04章.ppt
- 《峰景园》营销策划报告.doc
- 《高等数学复习》教程.doc
- 《函数》同步课堂教学课件.ppt
- “2061计划”教材分析法与研究毕业论文.doc
- 《技术和设计2》教学策略.ppt
- 《金属化学性质》说课课件.ppt
- 《快乐音乐会》教学设计.doc
- 《认识几分之一》案例分析(修改).doc
- 《市场营销学》课程作业.doc
- 物业大包合同协议.docx
- 燃煤销售合同协议.docx
- 2025年工业互联网平台在智能预测技术行业的跨行业应用与通用化解决方案报告.docx
- 小众化消费市场细分产品设计与市场推广报告[001].docx
- 2025年老年教育产业市场细分报告:远程教育服务市场前景.docx
- 基于2025年教育信息化的学前教育信息化平台建设与运营研究报告.docx
- 海洋塑料垃圾污染治理2025年技术创新与全球环保产业市场前景分析报告.docx
- 2025年海洋生态修复工程效果评估与监测技术创新报告.docx
- 小众化消费市场细分市场细分品牌战略规划与市场拓展研究报告.docx
- 小众化消费市场细分市场细分消费者需求分析与市场拓展策略研究报告.docx
文档评论(0)