- 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
- 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
- 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
- 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们。
- 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
- 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
第三章 Verilog HDL硬件描述语言 3.1 Verilog HDL概述 3.2 Verilog HDL语言要素 3.3 Verilog HDL基本语句 3.4 Verilog HDL门元件和结构描述 3.5 仿真验证 3.6 可综合性描述 3.7 设计实例 3.5 仿真验证(Simulation) 仿真是电路设计中用来对设计者的硬件描述和设计结果进行调试(Debug)、验证(Verification)的方法之一。 当设计者采用HDL描述设计了一个硬件电路后,需要验证其正确性。采用自顶向下的设计方法时,从系统级、行为级、RTL(Register Transfer Level)到门级,每个层次的设计结果都需要仿真,确保设计中的错误尽早发现及时解决,以缩短设计周期。 3.5 仿真验证(Simulation) 测试文件是一个没有输入和输出的顶层模块。一个测试文件包括被测模块的映射,以及通过initial行为描述施加的测试向量,仿真结果的显示或输出,以及辅助模块的映射和各种必须环境的建立。典型的测试文件形式为: module module_name; //数据类型声明 //被测模块的映射 //施加测试向量 //显示仿真结果 endmodule 例 同步计数器的verilog HDL描述 module counter(clk,en,clr,result); input clk, en , clr; output [7:0] result; reg [7:0] result; always @( posedge clk ) begin if ( en ) if (clr || result = = 8b1111_1111) result = 8b0000_0000; else result = result+1; end endmodule 例 计数器的测试文件 `timescale 1ns/1ns //定义时间精度 module test; reg clk, en, clr; wire [7:0] result; counter counter(clk,en,clr,result); initial //产生时钟信号,周期为100个时间 begin #10 clk=1; forever #50 clk=~clk; end initial //测试使能功能 例 计数器的测试文件 例 计数器的仿真结果 从图仿真波形图上可以看到使能信号和清零信号的作用。其中,使能信号的失效暂停计数,但不会使计数器清零,而清零信号则使计数器清零。 * * Initial //测试清零功能 begin #10 clr=0; #130 clr=1; #150 clr=0; end initial begin #20000 $stop; #20 $finish; //再经过20个时间单位结束。 end endmodule initial //测试使能功能 begin #10 en=0; #190 en=1; #150 en=0; #240 en=1; #1970 en=0; #140 en=1; end
您可能关注的文档
- DSP控制器原理及应用 教学课件 作者 宁改娣 杨拴科 3.DSP寻址及指令.ppt
- DSP控制器原理及应用 教学课件 作者 宁改娣 杨拴科 4.DSP开发工具的使用.ppt
- DSP控制器原理及应用 教学课件 作者 宁改娣 杨拴科 4.DSP开发工具的使用1.ppt
- DSP控制器原理及应用 教学课件 作者 宁改娣 杨拴科 5.TMS320C240基本模块.ppt
- DSP控制器原理及应用 教学课件 作者 宁改娣 杨拴科 6.片内外设.ppt
- DSP控制器原理与应用 教学课件 作者 张东亮 第1章绪论.ppt
- DSP控制器原理与应用 教学课件 作者 张东亮 第2章DSP控制器总体结构.ppt
- DSP应用技术高职 教学课件 作者 赵明忠 第1-4章 第1章.ppt
- DSP应用技术高职 教学课件 作者 赵明忠 第1-4章 第2章.ppt
- DSP应用技术高职 教学课件 作者 赵明忠 第1-4章 第3章.ppt
- EDA 与数字系统设计 第2版 教学课件 作者 李国丽 等编著 CH3 ch3 6.ppt
- EDA 与数字系统设计 第2版 教学课件 作者 李国丽 等编著 CH3 ch3 7.ppt
- EDA 与数字系统设计 第2版 教学课件 作者 李国丽 等编著 ch4.ppt
- EDA 与数字系统设计 第2版 教学课件 作者 李国丽 等编著 附录A.ppt
- EDA基础与应用 教学课件 作者 于润伟 EDA技术项目教程1.ppt
- EDA基础与应用 教学课件 作者 于润伟 EDA技术项目教程2.ppt
- EDA基础与应用 教学课件 作者 于润伟 EDA技术项目教程3.ppt
- EDA基础与应用 教学课件 作者 于润伟 EDA技术项目教程4.ppt
- EDA基础与应用 教学课件 作者 于润伟 EDA技术项目教程5.ppt
- EDA基础与应用 教学课件 作者 于润伟 EDA技术项目教程6.ppt
文档评论(0)