硬件描述语言实验.doc

  1. 1、本文档共35页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
硬件描述语言实验.doc

《硬件描述语言》 实验指导书 南通大学电子信息学院 2008 年 2 月 1、目的和任务 《硬件描述语言》是一门侧重于数字电子系统的设计和测试方法的课程,它 的上机实验是教学中的一个重要环节。 通过上机学习, 学生不仅可以系统地复习、巩固该课程的基本理论,而且培养学生解决问题能力和创新能力,同时为该课程的课程设计作准备。 2、教学基本要求 (1) 、熟练使用 EDA 软件(Quartus II、ModelSim) ; (2) 、掌握用 Verilog HDL 实现组合逻辑电路和时序逻辑电路的方法。 3、实验条件 (1) 、PC 机、Quartus Ⅱ软件和 ModelSim软件 (2) 、GW48型 EDA 实验开发系统(EP1K30TC144-3) 4、实验内容 序号 实验内容 1 实验一 设计工具的使用 2 实验二 组合逻辑电路设计(一) 3 实验三 组合逻辑电路设计(二) 4 实验四 总线与总线操作 5 实验五 时序逻辑电路设计(一) 6 实验六 时序逻辑电路设计(二) 5、实验成绩 实验成绩的评定由实验的验收等级和实验报告等级两个部分组成,各占 50%。实验的验收等级和实验报告等级均采用 5 个等级,即优、良、中、及格和不及格。实验成绩占本课程平时成绩的50%。 实验一 设计工具的使用 1、实验目的与要求 掌握在 Quartus Ⅱ开发环境下,运用硬件描述语言输入法对“三人表决器”进行设计输入、编译、调试和仿真的方法。 “三人表决器”电路的输入为 SW1、SW2 和 SW3,输出为 L3 和 L4,位宽均为 1 位。当SW1、SW2 和 SW3中有超过2个以上的输入为1时, 要求熟悉整个设计流程,从打开、建立文档、编辑、编译、建立激励信号波形及最后仿真的整个过程。 2、实验内容 (1) 在Quartus Ⅱ开发环境下,建立工程,并将三人表决器的硬件描述语言程序输入; (2) 完成编译、调试和仿真,分析实验仿真结果,并判断其正确性。 3、教学形式 (1) 本实验为验证型实验,学生在实验前预习实验指导书; (2) 指导教师应该在实验前阐述实验目的、内容、方法和步骤,并且就实验中的难点和注意事项进行一定的说明; (3) 实验结束之后,学生按照实验报告的书写格式自行完成实验报告。 4、应达到的实验能力标准 (1) 能熟练地在 Quartus Ⅱ开发环境下,建立工程,并将三人表决器的硬件描述语言程序输入; (2) 能熟练地进行编译和调试,排除编译后的错误; (3) 正确地在仿真之前进行功能仿真的设置,并熟练地完成功能仿真; (4) 掌握基于 ACEX1K系列 EP1K30TC144-3器件的时序仿真方法。 5、思考题 (1) 用 Quartus II 软件对设计电路进行的仿真包括哪两种类型,它们之间 有什么区别? (2) 硬件描述语言输入法进行设计时的基本操作流程包括哪些环节? 实验二 组合逻辑电路的设计(1) 1、实验目的与要求 掌握在 Quartus Ⅱ开发环境下,运用Verilog 硬件描述语言输入法对 8 选 1多路选择器进行编译、调试和仿真的方法。要求根据使能端 en[1:0]的不同组合,从 8 个输入 a、b、c、d、e、f、g、h 中选择 1 个输出,输出 out 的位宽为 1 位。并分别采用 case 语句和if-else语句设计 8 选 1 多路选择器。 2、实验内容 (1) 在Quartus Ⅱ开发环境下,建立工程,并将8 选 1 多路选择器的硬件描述语言程序输入; (2) 完成编译、调试和仿真,分析实验仿真结果,判断其正确性。 3、教学形式 (1) 本实验为设计型实验,学生在实验前预习实验指导书; (2) 指导教师应该在实验前阐述实验目的、内容、方法和步骤,并且就实 验中的难点和注意事项进行一定的说明; (3) 实验结束之后,学生按照实验报告的书写格式自行完成实验报告。 4、应达到的实验能力标准 (1) 能熟练地在 Quartus Ⅱ开发环境下,建立工程,并将8 选1多路选择 器的硬件描述语言程序输入; (2) 能熟练地进行编译和调试,排除编译后的错误; (3) 正确地在仿真之前进行功能仿真的设置,并熟练地完成功能仿真; (4) 掌握基于ACEX1K系列 EP1K30TC144-3器件的时序仿真方法。 5、思考题 (1) 采用case 语句和if-else 语句分别设计的八选一多路选择器之间有什么 区别? (2) 如果要求不采用 always语句, 而采用 assign 语句设计该组合逻辑电路, 该如何设计? 实验三 组合逻辑

您可能关注的文档

文档评论(0)

cai + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档