asic实验报告.doc

  1. 1、本文档共10页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
asic实验报告

可编程ASIC设计与应用 实验报告 实验名称:可编程ASIC设计与应用 一、实验目的和要求 熟悉并使用Xilinx XUPV-5LX110T实验板以及对应ISE操作平台。 熟练掌握VHDL这一硬件描述语言。 成功完成实验项目并对仿真结果进行截图。 二、实验内容 基于VHDL的直流电机速度控制系统的设计。 三、实验原理 脉冲宽度调制(PWM)是英文“Pulse Width Modulation”的缩写,简称脉宽调制。XUPV5-LX110T开发系统XUPV5-LX110T是一款强大的通用FPGA平台,它采用的是XILINX公司Virtex?-5系列芯片:XC5VLX110T。可用于高速IO如GE、10GE、PCI-e接口开发验证,也可用于其他通信、网络、音视频、CPU验证等领域。强大的OpenSPARC 评估平台是基于Xilinx XUPV5-LX110T多应用开发平台而开发的,其中搭载了一颗OpenSparc T1开源微处理器,OpenSPARC 芯片为FPGA带来了多线程吞吐特性。开发套件包括 XUPV5-LX110T 开发板、1GB闪存卡、256MSODIMM模块、SATA线、XUP USB-JTAG 编程电缆、DVI-VGA转接头及6A电源。Xilinx Virtex-5 XC5VLX110T FPGA 电源 6A电源双Xilinx XCF32P Platform Flash PROMs (每个32 Mbyte),Xilinx SystemACE Compact Flash,板载32位ZBT?同步 SRAM?, Intel P30 StrataFlash,64位 256Mbyte DDR2 SODIMM模块板载100MHz时钟,板载可编程时钟,SMA时钟输入输出SATA,PS/2,RS-232,RJ-45,VGA,DVI,音频输入输出单端、差分扩展IO2x16LCD,?LED(15),按钮开关 外围接口: USB (2) – Host and Peripheral ●PS/2 (2) – Keyboard, Mouse ●RJ-45 – 10/100/1000 Networking ●RS-232 (Male) – Serial port ●Audio In (2) – Line, Microphone ●Audio Out (2) – Line, Amp, SPDIF ●Video Input ●Video (DVI/VGA) Output ●Single-Ended and Differential I/O Expansion 五、实验步骤与操作方法 要想控制直流电机就必须产生正确的输出、输入端口信号。通过完成直流电机速 度控制系统各个组成部分的设计,再把这些部分组装起来,形成完整的总体设计,命名为motorctrl,对外的端口引脚名称如下: (1) 输入:clk、reset、speed_now[7…0]、target_speed[7…0]、th_speed[7…0] (2) 输出:pwme 其中,clk为时钟信号,speed_now[7…0]为外部检测直流电机的速度, target_speed[7 downto 0]为设定电流电机预定达到目标的速度值,th_speed[7…0],为设定直流电机进入弱加速度的临界速度差值,pwme为控制直流电机转动信号。 直流电机速度控制系统VHDL程序设计 entity模块 library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library SYNOPSYS; use SYNOPSYS.attributes.all; entity motorctrl is port (clk: in STD_LOGIC; reset: in STD_LOGIC; speed_now: in STD_LOGIC_VECTOR (7 downto 0); --加载编码器检测的速度 target_speed: in STD_LOGIC_VECTOR (7 downto 0); --设定电机预定达到目标的速度值 th_speed: in STD_LOGIC_VECTOR (7 downto 0); --设定电机进入弱加速的临界速度差值 pwme: out STD_LOGIC); --脉冲调制的输出,负责控制电机转动的信号 end; 在entity模块中引进一

文档评论(0)

yaobanwd + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档