- 1、本文档共6页,可阅读全部内容。
- 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
- 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
- 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们。
- 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
- 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
FPGA和单片机串行通信接口的实现
FPGA和单片机串行通信接口的实现
[ 作者:??加入时间:2006-10-28 15:31:42??来自: ]
摘要:本文针对由FPGA构成的高速数据采集系统数据处理能力弱的问题,提出FPGA与单片机实现数据串行通信的解决方案。在通信过程中完全遵守RS232协议,具有较强的通用性和推广价值。关键词:FPGA; 单片机; 串行通信; RS232
1 前言
??? 现场可编程逻辑器件(FPGA)在高速采集系统中的应用越来越广,由于FPGA对采集到的数据的处理能力比较差,故需要将其采集到的数据送到其他CPU系统来实现数据的处理功能,这就使FPGA系统与其他CPU系统之间的数据通信提到日程上,得到人们的急切关注。本文介绍利用VHDL语言实现 FPGA与单片机的串口异步通信电路。??? 整个设计采用模块化的设计思想,可分为四个模块:FPGA数据发送模块,FPGA波特率发生控制模块,FPGA总体接口模块以及单片机数据接收模块。本文着重对FPGA数据发送模块实现进行说明。
2? FPGA数据发送模块的设计
???? 根据RS232 异步串行通信来的帧格式,在FPGA发送模块胁捎玫拿恳恢「袷轿?位开始位+8位数据位+1位奇校验位+1位停止位,波特率为2400。本系统设计的是将一个16位的数据封装成高位帧和低位帧两个帧进行发送,先发送低位帧,再发送高位帧,在传输数据时,加上文件头和数据长度,文件头用555555来表示,只有单片机收到555555时,才将下面传输的数据长度和数据位进行接收,并进行奇校验位的检验,正确就对收到的数据进行存储处理功能,数据长度可以根据需要任意改变。由设置的波特率可以算出分频系数,具体算法为分频系数X=CLK/(BOUND*2)。可由此式算出所需的任意波特率。下面是实现上述功能的VHDL源程序。Library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity atel2_bin isport( txclk: in std_logic;????????????????????? --2400Hz的波特率时钟????? reset: in std_logic;????????????????????? --复位信号??????? din: in std_logic_vector(15 downto 0);? --发送的数据????? start: in std_logic;????????????????????? --允许传输信号?????? sout: out std_logic????????????????????? --串行输出端口????????? ); end atel2_bin;architecture behav of atel2_bin issignal thr,len: std_logic_vector(15 downto 0);signal txcnt_r: std_logic_vector(2 downto 0);signal sout1: std_logic;signal cou: integer:=0;signal oddb:std_logic;type s is(start1,start2,shift1,shift2,odd1,odd2,stop1,stop2);signal state:s:=start1;?? begin? process(txclk)????? ??? begin????? if rising_edge(txclk) then?????????? if cou3 then thr=0000000001010101;?????? --发送的文件头 ??????????? elsif cou=3 then ??????????? thr=0000000000000010;??????????????? --发送的文件长度??????????? elsif (cou3 and state=stop2) then thr=din;--发送的数据??????????? end if;?? ????? end if; ? end process;? process(reset,txclk)? variable tsr,tsr1,oddb1,oddb2: std_logic_vector(7 downto 0);?? begin???????? if reset=1 then ??????????? txcnt_r=(other
您可能关注的文档
- Evaluation criteria of apparel product.doc
- Evaluation Corpora for Sense Disambiguation in the Medical Domain.pdf
- Evaluation in information retrieval.ppt
- Evaluation for writing.ppt
- Evaluation in the Learning Organization.ppt
- Evaluation of a Class of TwoScale ThreeLoop Vacuum Diagrams.pdf
- Evaluation of a cost effective technique for treating aquaculture water.pdf
- Evaluation of a Grammar of French Determiners.pdf
- Evaluation of a mathematical model structure describing the effect of (gel) structure on the growth.pdf
- Evaluation of a Fault Tolerant Distributed Broadcast Algorithm in H ypercube Multicomputers.pdf
- FPGA_ASICOPB总线仲裁器的RTL设计与FPGA实现.pdf
- FPGA门容量计算方法 xilinx.pdf
- FPGA编写程序题试题.pdf
- FP增长算法实验报告wx.doc
- FP―内射环和IF环的几个特征.pdf
- Fractal Dimension of Disordered Submonolayers Determination from He Scattering Data.pdf
- Fragment shaders for agent animation using finite state machines. Simulation Modelling Prac.pdf
- FreeBSD平台下流媒体技术在图书馆中的应用.pdf
- freemarker对null的处理.ppt
- FreeRADIUS EAPTLS的应用.pdf
最近下载
- 2025年广东省中山市华辰实验中学九年级中考数学一模试题(原卷版+解析版).docx VIP
- 历史逻辑 理论逻辑 实践逻辑的必然结果.docx VIP
- 2024年05月江苏无锡市梁溪区机关事业单位招考聘用编外工作人员20人笔试历年高频考点(难、易错点)附带答案详解.docx VIP
- 8设计开发论证报告.docx VIP
- 管理会计预测分析练习题.docx
- 《临安春雨初霁》课件+2023-2024学年统编版高中语文选择性必修下册.pptx
- 年产18万吨合成氨30万吨尿素建设项目改造可行性研究报告.docx
- 学习二十届中纪委四次全会精神答题.doc VIP
- 中煤图克项目BGL炉资料整理解析.docx
- 中考英语话题复习《建议》课件(共13张PPT.ppt VIP
文档评论(0)