lecture02数字信号处理与MATLAB-宁波大学.ppt

  1. 1、本文档共40页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
lecture02数字信号处理与MATLAB-宁波大学.ppt

数字信号处理与MATLAB /jiangzhidi/mediasystem /mediasystem 主讲:蒋志迪 宁波大学信息科学与工程学院 办公室:曹光彪信息楼424 电话:665929 email:bighandjzd@126.com Matlab中的信号表示 常见连续信号 离散信号 离散卷积 LTI系统 常见连续信号 指数信号Aeat???????????????y = A*exp(a*t); 指数序列ak??????????????????幂运算a.^k实现 正弦型信号??????????????????内部函数cos( ) 和sin( ) 抽样函数Sa(t)????????????? sinc(t) 矩形脉冲信号?????????????? y = rectpuls(t,width) 三角波脉冲信号?????????? y = tripuls(t, width,skew) 周期信号:正弦信号,周期方波 ex1. 产生一个幅度为2,频率为4Hz,相位为 的正弦信号 非周期信号:指数信号,阶跃信号,取样函数Sa(x)等 ex2. 产生一个高度为1,宽度为3,延时为2秒的矩形脉冲 信号 ex3 取样函数:用sinc(x)命令 随机信号: rand产生均匀分布的白噪声,randn产生高斯分布的白噪声 离散信号 周期序列 ex4 产生一个幅度为2,频率为4Hz,相位为 的正弦信号 单位脉冲序列 和单位阶跃序列u(n) 可以用ones(1,n)和zeros(1,n)来生成单位脉冲序列和单位阶跃序列 ones(1,n)命令产生1行n列的1值 zeros(1,n)命令产生1行n列的0值 ex5 产生单位脉冲序列 和单位阶跃序列u(n-3) 信号基本运算 尺度变换、翻转、时移、 相加、相乘 差分与求和、微分与积分 离散卷积 LTI(Linear Time-Invariant)系统 尺度变换、翻转、时移、 相加、相乘 差分与求和、微分与积分 离散卷积 matlab 中卷积运算的指令是c=conv(a,b),其中a和b是有限长的序列。 ex6:计算下面两个序列的卷积 a=[-2 0 1 –1 3]; b=[1 2 0 -1]; 两个序列的都从0开始的 系统的时域分析 连续时间系统零状态响应的求解 连续系统冲激响应和阶跃响应求解 离散时间系统零状态响应的求解 已知一个系统的传递函数为 求系统单位冲激响应h[n],以及h[n]的幅频相频响应图 离散时间系统单位脉冲响应的求解 FIR数字滤波器的设计 IIR数字滤波器最大缺点:不易做成线性相位 现代图像、语音、数据通信对线性相位的要求 线性相位的FIR得到大力发展和应用 线性相位FIR数字滤波器的特点 系统函数为: 当h(n)为实数且偶对称时,FIR滤波器为 恒相时延 相位曲线为一条过原点、以-(N-1)/2为斜率的直线 阶数N的一般选择方法 FIR滤波器设计方法 窗函数法设计:频域方均误差最小; 频率采样法设计:函数插值法逼近 (等波纹法设计:Chebyshev最佳一致逼近) 窗函数法设计 窗函数法设计准则—频域方均误差最小 几种常用的窗函数 矩形窗(Boxcar) 三角形窗(Bartlett) 汉宁窗(Hanning) 汉明窗(Hamming) 布拉克曼(Blackman) 凯萨窗(Kaiser) 窗函数法的设计步骤 给定理想频响函数 ; 根据指标选择窗函数。确定窗函数类型的主要依据是过度带宽和阻带最小衰耗的指标,确定N; 由 求 ,加窗得h(n)=hd(n)·w(n) 检验 频率取样法设计 频率抽样法是指定离散的理想频率响应Hd(k)抽样值,通过内插求得H(z) ,因而是插值法逼近 用Matlab设计FIR滤波器 窗函数 FIR设计函数 fir1调用格式(默认为Hamming窗) b = fir1(N,Wn); %带通, b = fir1(N,Wn,’high’); % 高通 b = fir1(N,Wn,’stop’); % 带阻 N:阶次,滤波器长度为N+1; Wn:通带截止频率,其值在0~1之间,1对应 Fs/2 b: 滤波器系数。 对于格式(1),若Wn为标量,则设计低通滤波器,若Wn是1×2的向量,则用来设计带通滤波器,若Wn是1×L的

文档评论(0)

ailuojue + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档