- 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
- 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
- 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
- 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们。
- 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
- 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
西安电子科技大学
VHDL数字系统设计与测试作业
题 目 数字频率计
学 院 电子工程学院
专 业 电子与通信工程
学 号
学生姓名
授课教师 钟桦
撰写日期: 2015 年 6 月 9 日
数字频率计
题目要求:
1.用VHDL完成12位十进制数字频率计的设计及仿真。
2.频率测量范围:1Hz~10KHz,分成两个频段,即1~999Hz,1KHz~10KHz,用三位数码管显示测量频率,用LED显示表示单位,如亮绿灯表示Hz,亮红灯表示KHz。
3.具有自动校验和测量两种功能,即能用标准时钟校验、测量精度。
4.具有超量程报警功能,在超出目前量程档的测量范围时,发出灯光和音响信号。
问题分析:
测量频率的基本原理是在单位时间内检测信号的脉冲个数,计数器对CP1信号进行计数,在1秒定时结束后,将计数器结果送锁存器锁存,同时将计数器清零,为下一次采样测量做好准备。
测量/
2、测频控制信号发生器(二分频)
4、计数器模块
5、送存选择、报警模块
6、锁存模块
7、扫描显示模块测量/选择信号meas
测试信号test
输出信号:cp1
当selet=0时,为测量状态,cp1=meas;当selet=1时,为校验状态,cp1=test。校验与测量共用一个电路,只是被测信号cp1不同而已。
--测量/校验选择
library ieee;
use ieee.std_logic_1164.all;
entity selett is
port(selet,test,meas:in std_logic;
cp1:out std_logic);
end selett;
architecture behv of selett is
--type statetype is(meas,test);
--signal present_state,next_state:statetype:=meas;
begin
process(selet)
begin
case selet is
when 1=cp1=test;
when 0=cp1=meas;
when others=cp1=null;
end case;
end process;
end behv;
仿真验证其正确性
从上图可以看出,当selet=0时,cp1输出信号为meas,当selet=1时,输出
信号为test,符合设计要求。
2、测频控制信号发生器(二分频)输入信号:1HZ1秒定时信号(周期为2秒)(clk_out)
此模块实际上就是一个二分频的计数器,代码如下:
--分频
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity fenpin is
port(clk:in std_logic;
clk1:out std_logic);
end fenpin;
architecture behav of fenpin is
begin
process(clk)
variable count:integer:=1;
begin
if(clkevent and clk=1) then
if count=1 then
count:=0; clk1=1;
else
count:=count+1; clk1=0;
end if;
end if;
end process;
end behav;
仿真验证其正确性
由仿真结果可以看出,二分频电路正确,符合设计要求。
3、与电路模块(andd)
输入信号:二分频时钟(clk2), 测量/library ieee;
use ieee.std_logic_1164.all;
entity andd is
port(clk2,cp2:in std_logic;
cp:out std_logic);
end andd;
architecture behv of andd is
begin
cp=clk2 and cp2;
e
您可能关注的文档
最近下载
- 2025至2030稀土永磁材料行业发展趋势分析与未来投资战略咨询研究报告.docx
- 高考物理一轮复习重难点逐个突破专题81电磁感应中的单、双棒问题(原卷版+解析).docx VIP
- 部编五年级上册语文单元分析.pdf VIP
- 模拟退火算法课件.pptx VIP
- 2025至2030中国钕稀土磁铁行业发展趋势分析与未来投资战略咨询研究报告.docx VIP
- 2025年安徽高考思想政治真题试卷完全解读(含试卷分析与备考策略).docx VIP
- 2025至2030中国MRI磁铁行业发展趋势分析与未来投资战略咨询研究报告.docx VIP
- 水平三新课标体育与健康教案.pdf VIP
- 《立足课标与课本 科学备战》2025安徽省中考化学复习 策略.pptx
- 土石坝安全监测技术规范.pdf VIP
文档评论(0)