第4章VHDL的顺序描述语句汇编.ppt

  1. 1、本文档共47页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
第六节 VHDL的顺序描述语句 VHDL的顺序描述语句只能出现在进程、函数或过程中,按语句出现的次序执行。VHDL中的顺序语句包括以下几种类型: (1) 信号赋值; (2) 变量赋值; (3) 过程调用和函数调用; (4) WAIT语句、断言语句、IF语句、CASE语句、LOOP语句、NEXT语句、EXIT语句和NULL(空)语句。 1.WAIT语句 进程(PROCESS)的执行过程可以由WAIT(等待)语句控制。注意,当进程中使用了WAIT语句后,就不能够再使用进程的敏感信号了。WAIT语句有以下四种形式: WAIT:无限等待。 WAIT ON(信号名表):当其中任何一个信号发生变化时,该进程就被激活。 WAIT UNTIL(条件表达式):当条件表达式的取值为真时,激活该进程。 WAIT FOR(时间表达式):给出了进程被挂起的最长时间,一旦超过了这个时间值,该进程就被激活。 例: WAIT UNTIL (clk ′EVENT AND clk=′1′); first: process begin wait until clk=‘1’ if d_ff(20 downto 0)=2e6 then d_ff(2)=‘1’; end if; end process; 2.断言语句(ASSERT) 断言语句的格式为: ASSERT 条件 REPORT 输出信息 SEVERITY 严重级别; 当条件为假时,系统的输出设备输出所要报告的信息、信息的严重级别以及断言语句所在设计单元的名字。 注意:断言语句主要用于程序调试、时序仿真时的人机对话,属于不可综合的语句,综合中被忽略而不会生成逻辑电路。断言语句分为顺序断言和并行断言,放在进程内的叫顺序,放在进程外的叫并行。 3.信号赋值语句 信号赋值语句的格式为: 信号名 = 信号变量表达式; 赋值符号两边信号的类型和长度应该一致。 对向量赋值时,如果信号的位数比较长,可以采用聚合赋值。例如,假设信号a的数据宽度为8位,语句“a = (OTHERS=1)”与语句“a 的作用相同。但是语句“a= (OTHERS=1)”的优点是给数据宽度比较长的信号赋值时,写起来简单,而且与数据宽度无关。还可以给向量的一部分赋值后,再用OTHERS给其余各位赋值。例如: a = (1=1,4=1,OTHERS=0); 4.变量赋值语句 变量的说明和赋值只能够在进程、函数和过程中。变量的赋值符号为“: =”,符号 “: =”也可以给任何对象赋初值,但不会引起问题,操作符号的意义根据上下文来决定。 变量赋值语句的格式为: 变量名: =表达式; 选择变量名时,要简单并能描述其功能。 当信号类型与变量类型一致时,允许二者相互赋值。 5.IF语句 IF语句的格式为: IF 条件 THEN 顺序处理语句; ELSIF 条件 THEN 顺序处理语句; ELSE 顺序处理语句; ?END IF; 使用IF语句时,应该注意描述时序逻辑和组合逻辑的区别。 例如: PROCESS(clk,a) BEGIN IF clk=‘1’ THEN y=a; END IF; END PROCESS; 上述语句描述的是锁存器。当clk为高电平时,输出信号y随输入信号a的变化而变化;当clk为低电平时,输出信号y保持不变,描述的是时序逻辑。 如果使用ELSE语句,则产生组合电路 PROCESS(clk

文档评论(0)

花仙子 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档