Cadence系统环境设置(老外写的).doc

  1. 1、本文档共3页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
Cadence系统环境设置(老外写的)

转载-Cadence系统环境设置(老外写的) 转载-Cadence系统环境设置(老外写的) ic*, dsmse, psd, ams and ldv Packages setenv CDS instdir setenv CDS_INSTALL_DIR $CDS/tools/dfII setenv LD_LIBRARY_PATH /usr/openwin/lib:/usr/dt/:/usr/dt/lib:$CDS/tools/lib:$CDS/tools/verilog/lib:/usr/X11/lib:/usr/lib:/usr/X11R5/lib:$CDS/tools/leapfrog/lib setenv SHLIB_PATH /usr/lib:/lib:/usr/lib/X11:$CDS/tools/lib:$CDS/tools/verilog/lib:$CDS/tools/leapfrog/lib setenv CDS_LIC_FILE $CDS/share/license/license.dat setenv CDS_Netlisting_Mode Analog setenv PATH $CDS/tools/bin:$CDS/tools/dfII/bin:$CDS/tools/leapfrog/bin:$CDS/tools/dracula/bin:$CDS/tools/dsm/bin:$CDS/tools/specctra/bin:$CDS/tools/pcb/bin:$ PATH setenv CLS_CDSD_COMPATIBILITY_LOCKING NO setenv DD_DONT_DO_OS_LOCKS SET Note: If there are subpackages under $CDS/tools that you need in addition to dfII, leapfrog, etc., add them to the PATH setting above. Similarly, you can remove packages you dont need e.g. remove leapfrog, dracula and specctra if just setting up silicon ensemble . You may have to set NC_INST_DIR to $CDS_INSTALL_DIR for AMS. Note: If running verilog on Red Hat 9, set LD_ASSUME_KERNEL as follows to get around the GLIBC_2.0 error:. setenv LD_ASSUME_KERNEL 2.4.1 Cadence Chip-assembly router/Virtuoso Custom Router aka IC Craftsman Package setenv CDS instdir setenv CDS_LIC_FILE $CDS/share/license/license.dat setenv PATH $CDS/tools/bin:$CDS/tools/iccraft/bin:$CDS/tools/iccraft/gds_if/bin:$CDS/tools/iccraft/lefdef_if/bin:$ PATH SPR Ambit Package setenv CDS_LIC_FILE instdir/license.dat setenv PATH instdir/BuildGates/current/bin:$ PATH Assura Package setenv ASSURAHOME instdir setenv CDS_LIC_FILE $ASSURAHOME/share/license/license.dat setenv PATH $ASSURAHOME/tools/bin:$ASSURAHOME/tools/assura/bin:$ PATH SEV Package VoltageStorm, Fire Ice setenv SIMPLEX_HOME instdir setenv LM_LICENSE_FILE $SIMPLEX_HOME/share/license/license.dat setenv PATH $SIMPLEX_HOME/bin:$ PATH TSI SignalStorm/Celtic/Pacific Package setenv TSIHOME instdir setenv CDS_LIC_

文档评论(0)

kaiss + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档