2013下期数电程设计任务书.doc

  1. 1、本文档共8页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
2013下期数电程设计任务书

数字电子技术 课程设计指导书 一 交通灯定时控制系统 在城镇街道的十字交叉路口,为了保证交通秩序和行人安全,一般在每条道路上各有一组红、黄、绿交通信号灯,其中红灯亮,表示该条道路禁止通行;黄灯亮表示该条道路上未过停车线的车辆停止通行,已过停车线的车辆继续通行;绿灯亮表示该条道路允许通行。交通灯控制电路自动控制十字路口两组红、黄、绿交通灯的状态转换,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。 设计任务及要求 设计一个十字路口的交通灯定时控制系统,基本要求如下: (1)甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间都设为25秒。 (2)每次绿灯变红灯时,黄灯先亮5秒钟,才能变换运行车道。 (3)黄灯亮时,要求每秒钟闪亮一次。 选做扩展功能: (4)十字路口有数字显示灯亮时间,要求灯亮时间以秒为单位作减计数; (5)要求通行时间和黄灯亮的时间均可在0~99s内任意设定。 2、设计原理 (1)分析系统的逻辑功能,画出其框图 交通灯定时控制系统的原理框图如图1所示。它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。图中: TL :表示甲车道或乙车道绿灯亮的时间间隔为25秒,即车辆正常通行的时间间隔。定时时间到,TL=1,否则TL=0。 TY :表示黄灯亮的时间间隔为5秒。定时时间到,TY=1,否则,TY=0。 ST :表示定时器到了规定的时间后,由控制器发出状态转换信号,由它控制定时器开始下一个工作状态的定时。 TL TY ST 图1 交通灯控制系统原理框图 (2)画出交通灯控制系统的ASM(算法状态机)图 一般十字路口的交通灯控制系统的工作状态及其功能如表1: 表1 控制器状态 信号灯状态 车道运行状态 S0(00) S1(01) S3(11) S2(10) 甲绿,乙红 甲黄,乙红 甲红,乙绿 甲红,乙黄 甲车道通行,乙车道禁止通行 甲车道缓行,乙车道禁止通行 甲车道禁止通行,乙车道通行 甲车道禁止通行,乙车道缓行 控制器应送出甲、乙车道红、黄、绿灯的控制信号。为简便起见,把灯的代号和灯的驱动信号合二为一,并作如下规定: AG=1:甲车道绿灯亮;BG=1:乙车道绿灯亮; AY=1:甲车道黄灯亮;BY=1:乙车道黄灯亮; AR=1:甲车道红灯亮;BR=1:乙车道红灯亮。 由此得到交通灯的ASM图,如图2所示: 图2交通灯控制系统的ASM图 (3)单元电路的设计 ①定时器 定时器由与系统秒脉冲同步的计数器构成,要求计数器在状态转换信号ST作用下,先清零,然后在时钟上升沿作用下,计数器从零开始进行增1计数,向控制器提供模5的定时信号TY和模25的定时信号TL。 ②控制器 控制器是交通管理的核心,它应该能够按照交通管理规则控制信号灯工作状态的转换。从ASM图可以列出控制器的状态转换表,如表2所示: 表2 输 入 输 出 现 态 状态转换条件 次 态 状态转换信号 TL TY ST 0 0 0 0 0 1 0 1 1 1 1 1 1 0 1 0 0 X 1 X X 0 X 1 0 X 1 X X 0 X 1 0 0 0 1 0 1 1 1 1 1 1 0 1 0 0 0 0 1 0 1 0 1 0 1 控制器的逻辑图自行设计。 ③译码器 译码器的主要任务是将控制器的输出Q1、Q0的4种工作状态翻译成甲、乙车道上的6个信号灯的工作状态。控制器的状态编号与信号灯控制信号之间的关系如表3所示。译码器电路请自行设计。 表3 Q1Q0 AG AY AR BG BY BR 00 01 11 10 1 0 0 0 1 0 0 0 1 0 0 1

文档评论(0)

ipuflu + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档