- 1、本文档共9页,可阅读全部内容。
- 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
在FPGA中嵌入8051核的详细方法与步骤
?此教程我使用的是 HYPERLINK http://www.oreganosystems.at/ http://www.oreganosystems.at/网站提供的开源8051内核。Altera自带的8051内核并不是免费的,我也曾跟altera的客服发邮件申请过8051内核(英文的邮件交流。。我也不太说),反正用邮件对话了几次大致意思是他们不免费给,说网上有免费的51IP核可以自己去下载。可以自己去那个网上下载或者下载我上传的
?
?
该8051内核源码文章末尾会提供下载地址,
?
打开后的文件有上面这些文件夹。其中就一个最重要的就是8051核的源码,全部放在vhdl这个文件夹里面。那个pdf文档是这个IP核的使用教程,是官方标配的使用文档,也是纯英文的,对于英语四级没过的我下定决心花了大力气看最后只是一场空。。这问文档写的是用Synplify Pro这个综合软件综合的,虽然据说用这个软件进行综合可以节约FPGA的很多逻辑单元,但是对于我们这些初学者来讲,真的又麻烦又不适用,我们还是直接用QuartusII 来进行综合好了。
?
51IP核的源码全部在都在vhdl 这个文件夹中,打开是一大堆的源文件。
?
对于这么多的文件该怎么用,该如何使用,这是本文的重点。
首先用QuartusII建立一个工程,这这里先提醒下,文件目录最好不要包含中文,空格,不然会有些无法理解的错误。
然后将 vhdl 整个文件夹复制到工程目录下(个人习惯,等下添加进工程里面的时候方便点)。下面是添加8051核文件的步骤。
?
?
选择文件添加进工程。这里注意下
后面拖着_cfg 的这种文件不要添加进工程里面,还有就是含有_top_的文件也不要添加到里面。
然后双击打开mc8051_p.vhd 这个文件。把下面这些模块的例化语句全部删掉,这点非常重要!!
??component mc8051_top
????port (clk?????????: in??std_logic;
??????????reset???????: in??std_logic;
??????????int0_i??????: in??std_logic_vector(C_IMPL_N_EXT-1 downto 0);
??????????int1_i??????: in??std_logic_vector(C_IMPL_N_EXT-1 downto 0);
??????????all_t0_i????: in??std_logic_vector(C_IMPL_N_TMR-1 downto 0);
??????????all_t1_i????: in??std_logic_vector(C_IMPL_N_TMR-1 downto 0);
??????????all_rxd_i???: in??std_logic_vector(C_IMPL_N_SIU-1 downto 0);
??????????p0_i????????: in??std_logic_vector(7 downto 0);
??????????p1_i????????: in??std_logic_vector(7 downto 0);
??????????p2_i????????: in??std_logic_vector(7 downto 0);
??????????p3_i????????: in??std_logic_vector(7 downto 0);
??????????p0_o????????: out std_logic_vector(7 downto 0);
??????????p1_o????????: out std_logic_vector(7 downto 0);
??????????p2_o????????: out std_logic_vector(7 downto 0);
??????????p3_o????????: out std_logic_vector(7 downto 0);
??????????all_rxd_o???: out std_logic_vector(C_IMPL_N_SIU-1 downto 0);
??????????all_txd_o???: out std_logic_vector(C_IMPL_N_SIU-1 downto 0);
??????????all_rxdwr_o : out std_logic_vector(C_IMPL_N_SIU-1 downto 0));?
?
??end component;
?
??
??-- START: Component declarations fo
您可能关注的文档
- 灾害作业《自然灾害的防护》资料.ppt
- 甾醇类抑制剂资料.ppt
- 甾体激素行业分析资料.doc
- 栽培甘薯的基因组中包含土壤杆菌属T-DNA表达基因资料.docx
- 植物生物学-绪论资料.ppt
- 植物生长发育的调节课件资料.ppt
- 栽植苗木技术标资料.docx
- 植物生长素的发现(第2课时)资料.ppt
- 植物生长素的发现(动画很好)2资料.ppt
- 植物体内物质的运输一上课资料.ppt
- 注册验船师高频真题题库2025.docx
- 注册验船师高频题库带解析2025.docx
- 注册验船师考试必备资料2025.docx
- 注册验船师精选题库带分析2025.docx
- 注册验船师考前冲刺必刷题附答案2025.docx
- 新高考生物二轮复习讲练测第10讲 人体的稳态与免疫调节(讲练)(原卷版).docx
- 新高考生物二轮复习讲练测第13讲 生物技术与工程(讲练)(原卷版).docx
- 新高考生物二轮复习讲练测第9讲 神经调节与体液调节(讲练)(原卷版).docx
- 新高考生物二轮复习讲练测第7讲 遗传的基本规律与伴性遗传(检测)(原卷版) .docx
- 新高考生物二轮复习讲练测第13讲 生物技术与工程(检测)(原卷版).docx
文档评论(0)