- 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
- 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
- 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
- 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们。
- 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
- 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
北京邮电大学电子工程学院2012级数字电路与逻辑设计实验报告学校作息时间管理系统班级:07学号:班内序号:姓名: 2014/11/9目录任务要求3系统设计4设计思路4设计框图4分块介绍6仿真波形6VHDL源程序10功能说明25元器件清单25故障及问题分析26实验总结26任务要求题目一、学校作息时间管理系统基本要求:1、 该管理系统有常态、 考试和假日三种工作模式, 三种模式用一个按键 BTN实现切换,并用点阵进行显示:常态模式显示 N,考试模式显示 E,假日模式显示 H。 2、 常态模式:每天上午的 7 点50、下午 13 点 20和 18 点 20打预备铃,上午的 8 点、9 点、10 点 10 分、11 点10 分、下午的 13 点 30、14点 30、15点 40、16 点40、18点 30 和 19 点 30 打上课铃,上午的 8 点 50、9 点 50、11 点、12 点、下午的 14 点20、15 点 20、16 点 30、17 点 30、19 点 20 和 20 点 20 打下课铃,每天晚上的 23点打熄灯铃。 3、 考试模式:每天上午的 7 点50、下午 12 点 50打预备铃,上午的 8 点、10 点、下午的 13 点、15点打考试铃,上午的 12点和下午的 17 点打收卷铃,每天晚上的 23 点打熄灯铃。 4、 假日模式:只在晚上 23点打熄灯铃。 5、 铃声要求:预备铃声、上课玲声、下课铃声、熄灯铃声、考试铃声和收卷铃声至少用 3 种用不同的乐曲表示,每种铃声不短于 10 秒,不长于 20 秒,且上课铃声和考试铃声必须终止于开始时间, 下课铃声和收卷铃声必须起始于课程或考试结束时间。 6、 任何模式下,时钟都要走时正确,且可以用按键 BTN进行校正调节,时间用 6 个数码管显示。提高要求:1、 打铃时间可以人工修改2、 自拟其它功能。系统设计设计思路程序采用自顶向下设计的思路,先将主程序启动,然后依次启动子程序,主程序为蜂鸣器响铃部分,子程序包括数码管时间显示部分、点阵状态显示部分、时间校正部分、逻辑判断部分及按键防抖部分。设计框图系统结构图总体设计框图ASM图是否为H是否为N N YES时间是否为7 点50、下午 13 点 20…… NO时间是否为8:00,9:00。。。。。。 YES YES时间是否为8:50,9:50.。。。。。。 YY时间是否为23:00 N Y分频模块设计晶体振荡器是构成数字时钟的核心,振荡器的稳定度及频率的精度决定了数字钟计时的准确程度,它保证了时钟的走时准确及稳定。石英晶体的选频特性非常好,只有某一频率点的信号可以通过它,其它频率段的信号均会被它所衰减,而且振荡信号的频率与振荡电路中的R、C元件的数值无关。因此,这种振荡电路输出的是准确度极高的信号。本设计FPGA外部使用的是50MHz晶振,在其内部再根据需要进行分频。分频模块分别产生1kHZ、250HZ、1HZ脉冲信号。其中1KHZ作为读写时钟信号,250HZ为刷新频率,1HZ作为时钟基准时钟信号。VHDL源程序分频模块--50k分频,本程序中将50Mhz时钟信号分频至1khzlibrary ieee;use ieee.std_logic_1164.all;entity div_50k isport (clk_in: in std_logic;clk_out: out std_logic);end entity;architecture a of div_50k issignal tmp1:integer range 0 to 999;------------signal tmp2:integer range 0 to 24;-------------signal clktmp:std_logic;beginprocess(clk_in)beginif (clk_inevent and clk_in=1) thenif (tmp1=999) thentmp1=0; tmp2=tmp2+1;if (tmp2=24) then tmp2=0; clktmp=not clktmp;end if;else tmp1=tmp1+1;end if;end if; end process;clk_out=clktmp;end a;-4分频library ieee;use ieee.std_logic_1164.all;entity div_4 isport (clk_in: in std_logic;clk_out: out std_logic);end entity;architecture a of div_4 issignal tmp:integer range 0 to 1;signal clktmp:std_logic;beg
您可能关注的文档
- 《基于Surpac的矿山计算机三维模型研究》.doc
- 《基于struts的网上书店系统毕业设计(论文)word格式》.doc
- 《基于Struts的Rational可视化模式学习环境的设计与实现 毕业设计》.doc
- 《基于SWING和MyBatis的学生成绩管理系统设计与实现》.doc
- 《基于struts技术的跑步社区前台界面的设计与实现》.doc
- 《基于SVN版本控制与开发指南》.doc
- 《基于SWOT分析的农业电子商务现状及对策分析—学士学位毕业论文》.doc
- 《基于SWOT分析的联想乐Phone的发展对策_工商管理毕业论文》.doc
- 《基于SWOT分析的高职学院的发展战略选择-1》.doc
- 《基于SWOT分析法的我国铁路货运业现状分析物流管理毕业论文》.doc
最近下载
- 食品安全管理手册(含程序文件 ).doc VIP
- 82页精益制造数字化转型智能工厂三年规划建设方案PPT.pptx VIP
- 高级建筑电气工程师考试题及答案.pdf VIP
- 2025年各省市全民国防教育知识竞赛题库及答案.docx
- 2022届广州市高三12月调研考(零模)语文试题.doc VIP
- 国家电网公司电力安全工作规程第5部分:风电场.pdf VIP
- 2024年中级通信工程师传输与接入(有线)知识点.pdf
- 废锂离子动力蓄电池处理污染控制技术规范(试行)(HJ1186—2021).pdf VIP
- GB50652-2011 城市轨道交通地下工程建设风险管理规范.docx VIP
- 2.3《游戏中的观察》教学设计 2025教科版科学一年级上册.pdf
文档评论(0)