VHDL语言描述语句概要.ppt

  1. 1、本文档共46页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
VHDL语言描述语句概要

6.4 EXIT语句 退出(EXIT)语句也是LOOP语句中使用的循环控制语句。执行EXIT语句,将结束循环状态,从LOOP语句中跳出,终止LOOP语句的执行。 EXIT语句的一般格式为: (1)EXIT (2)EXIT LOOP标号 (3)EXIT LOOP标号 WHEN 条件表达式 FOR i IN 0 TO 31 LOOP NEXT WHEN ccontrol = ‘0’ ; --跳到下一次循环; temp : = temp XOR cdata ( i ) ; END LOOP ; FOR i IN data’RANGE LOOP CASE data(i) IS WHEN ‘0’= count:=count+1; WHEN others =EXIT; --跳出当前循环,使LOOP循环结束。 END CASE; END LOOP ; 1、利用LOOP/EXIT语句设计一个连‘0’检测器 对输入矢量中连续出现的零的个数进行统计(从矢量左端开始统计) 课堂练习—2 课堂练习—2 2、利用loop语句描述一个n位的逐级进位加法器: FA S3 A3 B3 C4 FA S2 A2 B2 C3 FA S1 A1 B1 C2 FA S0 A0 B0 C1 ‘0’ 7. WAIT语句 1、作用:替代PROCESS敏感信号列表。 2、WAIT语句语法格式: WAIT --无限等待(仿真) WAIT FOR time --时间到(仿真,不可综合) WAIT UNTIL signal_condition --条件满足(可综合) WAIT ON signal1,[signal2,…]; --敏感信号发生变化(可综合) 7.1、WAIT 语句说明 作用:仿真复位信号的产生: reset_proc:process begin reset=‘1’ ; wait for reset_period; reset=‘0’ ; wait; end process; 7.2、 WAIT FOR 语句 作用:常用于描述仿真激励信号,产生时钟信号; 例: constant clk_period : time:=10ns clk_process : process begin clk=‘0’ ; wait for clk_period/2; clk=‘1’; wait for clk_period/2; END PROCESS ; 或者: Clk_process:process(clk) begin clk=NOT clk AFTER period/2; end process; 7.3 WAIT UNTIL 使进程语句进入等待状态,直到UNTIL之后的条件满足后才激活。 例:带同步复位端的D出触发器 PROCESS

文档评论(0)

jiayou10 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:8133070117000003

1亿VIP精品文档

相关文档