交通灯控制器精要.doc

  1. 1、本文档共22页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
交通灯控制器精要

目 录 前 言 1 第一章 设计任务 2 第二章 题目分析与整体构思 3 (1) 该交通灯控制器应具备的功能 3 (2) 实现方案 3 第三章 硬件电路设计 4 (1)交通控制器。 4 (2)5秒定时控制单元 4 (3)45秒定时控制单元 4 (4)25秒定时控制单元 4 (5)显示控制单元 4 (6)显示译码器单元 5 (7)交通灯控制器的顶层文件连接图 5 第四章 程序设计 6 (1)交通灯控制器VHDL源程序 6 (2)45秒定时单元的VHDL源程序 8 (3)5秒定时单元的VHDL源程序 10 (4)25秒定时单元的VHDL源程序 11 (5)显示控制单元的VHDL源程序 12 (6)显示译码器单元的VHDL源程序: 13 第五章 仿真波形图 15 (1)交通灯控制器仿真波形图 15 (2)45秒定时单元仿真波形图 15 (3)5秒定时单元仿真波形图 15 (4)25秒定时单元仿真波形图 16 (5)显示控制单元仿真波形图 16 (6)显示译码器仿真波形图 16 第六章 交通控制器的内部逻辑结构原理图 17 第七章 心得体会 20 参考文献 21 前 言 当前,大量的信号灯电路正向着数字化、小功率、多样化、方便人、车、路三者关系的协调, 多值化方向发展随着社会经济的发展,城市交通问题越来越引起人们的关注。,这些城市纷纷修建城市高速道路,在高速道路建设完成的初期,它们也曾有效地改善了交通状况。然而,随着交通量的快速增长和缺乏对高速道路的系统研究和控制,高速道路没有充分发挥出预期的作用。而城市高速道路在构造上的特点,也决定了城市高速道路的交通状况必然受高速道路与普通道路耦合处交通状况的制约。人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统最重要的组成部分。伴随着社会的发展以及人类生活水平的提高,汽车的数量在D的DEA技术的发展和应用领域的扩大与深入,EDA技术在电子信息,通信,自动,控制及计算机应用等领域的重要性日益突出。随着技术市场与人才市场对DEA的不断的增加,交通的问题日益突出,单单依靠人力来指挥交通已经不可行了,所以,设计交通灯来完成这个需求就显的越加迫切了.为了确保十字路口的行人和车辆顺利、畅通地通过,往往采用电子控制的交通信号来进行指挥。以下就是运用数字电子设计出的交通灯:其中红灯亮,表示该条路禁止通行;黄灯亮表 示停车;绿灯亮表示允许通行。 第一章 设计任务 十字路口交通信号的控制系统既适用于十字路口汽车行驶的交通控制,也适用于行人自助通过道路的交通管理。由一条主干道和一条支干道的汇合点形成的十字交叉路口的交通灯控制器,具体要求如下: (1) 主、支干道各设有一个绿、黄、红指示灯,两个显示数码管。 (2) 主干道处于常允许通行状态,而支干道有车来才允许通行。 (3) 当主、支道均有车时,两者交替允许通行,主干道每次放行45 s,支干道每次放行25 s,在每次由亮绿灯变成亮红灯的转换过程中,要亮5 s的黄灯作为过渡,并进行减计时显示。 第二章 题目分析与整体构思 (1)该交通灯控制器应具备的功能 设东西和南北方向的车流量大致相同,因此红、黄、绿灯的时长也相同,定为红灯45sec,黄灯5sec,绿灯40sec,同时用数码管指示当前状态(红、黄、绿)剩余时间。另外,设计一个紧急状态,当紧急状态出现时,两个方向都禁止通行,指示红灯。紧急状态解除后,重新计数并指示时间。 (2) 实现方案 一 从题目中计数值与交通灯的亮灭的关系如图所示 第三章 硬件电路设计 (1)交通控制器。 (2)5秒定时控制单元 (3)45秒定时控制单元 (4)25秒定时控制单元 (5)显示控制单元 (6)显示译码器单元 (7)交通灯控制器的顶层文件连接图 第四章 程序设计 (1)、交通灯控制器JTDKZ的VHDL源程序 --JTDKZ.VHD 第四章 程序设计 (1)交通灯控制器VHDL源程序 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY JTDKZ IS PORT(CLK,SM,SB:IN STD_LOGIC; MR,MY,MG,BR,BY,BG: OUT STD_LOGIC); END ENTITY JTDKZ; ARCHITECTURE ART OF JTDKZ IS TYPE STATE_TYPE I

文档评论(0)

shuwkb + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档