电子秒表电路图.doc

  1. 1、本文档共8页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
电子秒表电路图

电子技术课程设计报告书 课题名称 电子秒表 姓 名 学 号 2009010551 院、系、部 物理与电子科学系 专 业 电子信息科学与技术 指导教师 张彩荣 2012年 12 月 20日 一、设计任务及要求: 设计任务: 用中小规模集成电路设计一个电子秒表。 要 求: 能显示两位数10进制数,其计数范围00——99。 具有清零、预置数、停止功能。 指导教师签名: 年 月 日 二、指导教师评语: 指导教师签名: 年 月 日 三、成绩 指导教师签名: 年 月 日 电子秒表的设计 1 设计目的 学习数字电路中基本RS触发器、单稳态触发器、时钟发生器、译码显示器等单元电路的综合应用。 学习电子秒表的调试方法 2 设计思路 (1)设计基本RS触发器构成的控制电路。 (2)设计单稳态触发器。 (3)设计时钟发生器。 (4)设计计数及译码显示电路。 3 设计过程 3.1方案论证 电子秒表总体方框图如图1所示。 图1 其工作原理为:首先由时基脉冲产生电路产生 1Hz 时基 脉冲信号,将此信号作为计数芯片的计数输入端 CP 的输入信 号。根据 60 秒为 1 分,60 分为 1 小时,24 小时为 1 天的进制,分别设定 “秒”、“分”、“时”的计数器,分别为六十进制,六十进 制,二十四进制计数器,并输出 1 分,1 小时,1 天的时间信号。 再将计数电路的输出状态输入到译码器芯片,产生驱动数码显 示器信号,使数码显示器呈现出“秒”、“分”、“时”对应的计时数字。当需要对时、分进行校时时,校正控制电路工作,其中一个 开关控制“分”时钟的正常计数和校正,另一个开关控制“时”时钟的正常计数和校正。 3.2电路设计 总原理图 如图2 图2总原理图 (1)基本RS触发器电路如图3所示。 图3 基本RS触发器电路 如图此单元采用集成与非门构成的基本RS触发器。属于低电平直接触发的触发器,有直接置位、复位功能。 他的一路输出Q作为单稳态触发器的输入,另一路输出Q作为与非门的输入控制信号。按动按钮开关K1(接地),则门1输出Q=1;门2输出Q=0,K2复位后Q、Q状态保持不变。再按动按钮开关K1,则Q由0变为1,门5开启。为计数器启动做好准备。Q由1变为0,送出负脉冲,启动单稳态触发器工作。 单稳态触发器(图4) 图4 单稳态触发器实验模块 单稳态触发器的输入触发负脉冲信号Vi由基本的RS触发器Q端提供,输出负脉冲Vo通过非门加到计数器的清除端R。 静态时,门应处于截止状态,故电阻R必须小于们的关门电阻Roff。定时元件RC的取值不同,输出脉冲宽度也不同。当触发器脉冲宽度小与输出脉冲宽度时,可以省去输入微分电路的Rp和Cp。单稳态触发器在电子秒表中的只能是为计数器提佛那个清零信号。 时钟发生器 图5为电子秒表电路的时钟发生电路 图5 由555定时器构成的多谐振荡器 555定时器构成的多谐振荡器是一种性能较好的时钟源。 调节电位器R8,使在输出端OUT获得频率为50HZ的举行波形信号,当基本RS触发器Q=1时,门U4C开启,此时50HZ脉冲信号通过门U4C作为计数脉冲加于计数器U3的计数出入端CP2。 计数及译码显示 如图6二—五—十进制加法计数器74LS190构成的电子秒表的计数单元。 图6加法计数器74LS190构成的电子秒表的计数单元 如图其中计数器U3接成五进制形式,对频率为50HZ的时钟脉冲进行五分频,在输出端QD取得周期为0.1S的矩形脉冲,作为计数器U2的时钟输入。计数器U2及计数器U1接成8421码十进制形式,其输出端与实验装置上译码显示单元的相应输入端连接,可显示0.1~0.9秒;1~9.9秒计时。 当R0(1)、R0(2)均为“1”;S9(1)、S9(2)中有“0”时,实现异步清零功能,即QDQCQBQA=0000。 置9功能 当S9(1)、S9(2)均为“1”;R0(1)、R0(2)中有“0”时,实现置9功能,即QDQCQBQA=1001。 输 入 输 出 功

文档评论(0)

haihang2017 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档