- 1、本文档共11页,可阅读全部内容。
- 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA课程设计报告书EDA课程设计报告书.doc
课程设计报告(论文)
设计课题: 16*16点阵控制接口技术
专业班级:
学生姓名:
指导教师:
设计时间: 2012年05月
16*16点阵控制接口技术课程设计任务书
姓 名:专 业:班 级:指导教师:职 称:课程设计题目:16*16点阵控制接口技术已知技术参数和设计要求:通过本课程的学习使学生掌握可编程器件、EDA开发系统软件、硬件描述语言和电子线路设计与技能训练等各方面知识;提高工程实践能力;学会应用EDA技术解决一些简单的电子设计问题。
技术要点:
1.在时钟信号的控制下,使点阵动态点亮,点亮方式自行设计,其中位选信号为16-4编码器编码输出。
2.设计8位开关控制的8种图案显示。
3.设计4位或4位以上的汉字循环显示,例如“北华???天” 。
所需仪器设备:EDA实验箱 装有max-pulsⅡ软件电脑一台
成果验收形式:现场验收提问
参考文献:
时间
安排12周:软件的编写
13周:硬件的连接 周六验收
指导教师: 教研室主任:
年 月 日
注:本表下发学生一份,指导教师一份,栏目不够时请另附页。
课程设计任务书装订于设计计算说明书(或论文)封面之后,目录页之前。
内 容 摘 要
LED点阵显示是有多个独立的发光二极管装而成。LED点阵可以显示数字或汉字,符号,广泛应用于车站,医院,超市等公共场所。方案设计包括软件设计和硬件设计。设计采用动态扫描的显示方法。
索引关键词:LED VHDL语言 4—16译码器
目 录
一 概 述
二 方案设计与论证
三 单元电路设计与参数计算
四 总原理图及元器件清单
五 安装与调试
六 性能测试与分析
七 结论
八 心得体会
九 参考文献
一.概述
16*16LED点阵由256个发光二极管排成正方形而成,当二极管一端为高电平,一端为低电平时即可导通发光。通过控制相应的二极管导通截止,就可以显示控制显示不同的汉字。而要完成汉字的动态的显示,需另外设计一个“字显示控制模块”用以控制汉字的动态切换,设计当中可用开关控制每一个字的显示,来实现开关的功能。对于汉字的切换速度,可以通过时钟频率进行控制。
在实验箱中,点阵的列驱动电路已经做好,其列选信号送到4—16译码电路,来驱动点阵的显示。四个开关可以控制每一个字的显示,这样能够使字自动显示,也可以通过开关控制字的显示。
在以上显示过程中,要力求达到汉字清晰,连续,稳定,无闪烁的设计要求。
二、方案设计与论证
用LED点阵显示汉字还是图形,都要控制图形或汉字各个点所在位置相应LED的导通与截止。这就要将需要显示的图形或汉字转换成相应的点阵图形。
本设计列扫描信号4—16译码器的输出,列扫描信号规律由人为定义,从而控制汉字的显示。该点阵显示器主要包括一下几个部分:
用于列扫描的16进制计数器
2.用于控制汉字切换的8进制计数器
3.用于控制显示不同汉字的字显控制模块
三、单元电路设计与参数计算
16进制计数器:
LIBRARY ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity count_16 is
PORT(clk,re:in std_logic;
q:out std_logic_vector(3 downto 0));
end count_16;
architecture behave of count_16 is
signal temp:std_logic_vector(3 downto 0);
begin
q=temp;
process(clk,re)
begin
if re=1then
temp=0000;
elsif
clkevent and clk=1then
if temp=1111then temp=0000;
else temp=temp+1;
end if;
end if;
end process;
end behave;
8进制计数器:
LIBRARY ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use iee
您可能关注的文档
最近下载
- 中船科技(600072)公司2023年财务分析研究报告.doc
- 中国书法简介英语版-chinese-calligraphy(完整版).ppt
- 2025年供电营业规则考试题库.pdf
- 六个寻找剧作家的人_经典戏剧剧本.pdf
- 丙烯酸乙酯安全技术说明书(MSDS).pdf VIP
- 2024年供应链管理师(一级)资格考试复习题库(含答案).docx
- 精品解析:2025届广东省珠海市等三地高三上学期一模物理试题(解析版).docx VIP
- 财政知识普及课件.pptx VIP
- 2025届广东省珠海市等三地高三年级上册一模物理试题(含答案解析).pdf VIP
- 新22J09 附属建筑-标准图集.docx VIP
文档评论(0)