8-21自动售货机控制系统设计.ppt

  1. 1、本文档共21页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
8-21自动售货机控制系统设计

8.21 自动售货机控制系统设计;设计要求;系统组成;系统组成方框图 ;;自动售货机VHDL程序与仿真 ;自动售货机VHDL程序;architecture behav of AUTO is type ram_type is array(3 downto 0)of std_logic_vector(7 downto 0); signal ram :ram_type; --定义RAM signal item: std_logic_vector(1 downto 0); --商品种类 signal coin: std_logic_vector(3 downto 0); --币数计数器 signal pri,qua:std_logic_vector(3 downto 0); --商品单价、数量 signal clk1: std_logic; --控制系统的时钟信号 begin ;com:process(set,clk1) variable quan:std_logic_vector(3 downto 0); begin if set=1 then ram(conv_integer(item))=price quantity;act=0000; --把商品的单价、数量置入到RAM elsif clk1event and clk1=1 then act5=0; act10=0; if coin0=1 then if coin1001then coin=coin+1; --投入5角硬币,coin自加1 else coin=0000; end if; elsif coin1=1 then if coin1001then coin=coin+2; --投入1元硬币,coin自加2 else coin=0000; end if; elsif sel=1 then item=item+1; --对商品进行循环选择 ;; elsif finish=1 then --结束交易,退币(找币) if coin“0001” then act10=‘1’;coin=coin-2; --此IF语句完成找币操作 elsif coin0000 then act5=1; coin=coin-1; else act5=0; act10=0; end if; elsif get=0 then act=0000; for i in 4 to 7 loop pri(i-4)=ram (conv_integer(item))(i); --商品单价的读取 end loop; for i in 0 to 3 loop quan(i):=ram(conv_integer(item))(i); --商品数量的读取 end loop; end if; end if; qua=quan; end process com;;m32:process(clk) --此进程完成对32Mhz的脉冲分频 variable q: std_logic_vector( 24 downto 0); begin if clkevent and clk=1 then q:=q+1; end if; if q=111111111111111111111111 then clk1=1; else clk1=0; end if; end process m32;;;code1: process (coin)

文档评论(0)

junjun37473 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档