VHDL对象数据和运算符.ppt

  1. 1、本文档共29页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
VHDL对象数据和运算符

第5章 VHDL语言的对象和数据类型及运算操作符;5.1 VHDL文字规则;5.1 VHDL文字规则;5.1 VHDL文字规则;5.1 VHDL文字规则;5.2 数据类型;5.2.1 VHDL的预定义数据类型;5.2.1 VHDL的预定义数据类型;8. 时间(TIME)数据类型;5.2.2 IEEE预定义标准逻辑位与矢量;5.2.3 其他预定义数据类型;5.2.4 用户自定义数据类型;5.2.5 数组类型;5.2.5 数组类型;5.3 VHDL操作符;表5-1 VHDL操作符列表;表5-2 VHDL操作符优先级; 【例5-4】 SIGNAL a ,b,c : STD_LOGIC_VECTOR (3 DOWNTO 0) ; SIGNAL d,e,f,g : STD_LOGIC_VECTOR (1 DOWNTO 0) ; SIGNAL h,I,j,k : STD_LOGIC ; SIGNAL l,m,n,o,p : BOOLEAN ; ... a=b AND c; --b、c 相与后向a赋值,a、b、c的数据类型同属4位长的位矢量 d=e OR f OR g ; -- 两个操作符OR相同,不需括号 h=(i NAND j)NAND k ; -- NAND不属上述三种算符中的一种,必须加括号 l=(m XOR n)AND(o XOR p); -- 操作符不同,必须加括号 h=i AND j AND k ; -- 两个操作符都是AND,不必加括号 h=i AND j OR k ; -- 两个操作符不同,未加括号,表达错误 a=b AND e ; -- 操作数b 与 e的位矢长度不一致,表达错误 h=i OR l ; -- i 的数据类型是位STD_LOGIC,而l的数据类型是 ... -- 布尔量BOOLEAN,因而不能相互作用,表达错误。 ;5.3.2 关系操作符;5.3.3 算术操作符;【例5-8】 PROCEDURE adding_e (a :IN INTEGER ; b :INOUT INTEGER )IS ... b := a + b ; ;2. 求积操作符;5.3.3 算术操作符;SLL——逻辑左移 SRL——逻辑右移 移空的位补零 SLA——算术左移 SRA——算术右移 移空的位由最初首位补 ROL——逻辑循环左移 ROR——逻辑循环右移 移出的位依次填补移空的位;例1: 2输入与非门电路 LIBRARY ieee; USE ieee.std_logic_1164.all; entity xx1 is port(a,b: in std_logic; y: out std_logic); end xx1; architecture nand2pp OF xx1 is begin y=a nand b; end nand2pp;;例2: 3-8译码器 LIBRARY ieee; USE ieee.std_logic_1164.all; entity decoder38 is port(a,b,c,g1,g2a,g2b: in std_logic; y: out std_logic_vector(7 downto 0)); end decoder38; architecture behave38 OF decoder38 is signal indata: std_logic_vector(2 downto 0); begin indata=cba;; process(indata,g1,g2a,g2b) begin if(g1=1 and g2a=0 and g2b=0) then case indata is when 000=y; when 001=y; when 010=y; when 011=y; when 100=y; when 101=y; when 110=y; when 11

文档评论(0)

wuyoujun92 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档